Publications

How to Count Coughs: An Event-Based Framework for Evaluating Automatic Cough Detection Algorithm Performance

L. Orlandic; J. Dan; J. P. R. Thevenot; T. Teijeiro; A. Sauty et al. 

2024. 2024 IEEE 20th International Conference on Body Sensor Networks, Chicago, Illinois, US, 2024-10-15 – 2024-10-17. DOI : 10.1109/BSN63547.2024.10780617.

Bank on Compute-near-Memory: Design Space Exploration of Processing-near-Bank Architectures

R. Medina Morillas; G. Ansaloni; M. Zapater Sancho; J. Levisse; S. A. Chamazcoti et al. 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2024. Vol. 43, num. 11, p. 4117 – 4129. DOI : 10.1109/TCAD.2024.3442989.

BiomedBench: A benchmark suite of TinyML biomedical applications for low-power wearables

D. Samakovlis; S. Albini; R. Rodríguez Álvarez; D-A. Constantinescu; P. D. Schiavone et al. 

IEEE Design & Test. 2024.  p. 1 – 1. DOI : 10.1109/MDAT.2024.3483034.

Energy Efficient Co-design for Radio Interferometry using Reconfigurable Accelerators

D-A. Constantinescu; H. Chouh; A. Devresse; M. Peon Quiros; E. J. Orliac et al. 

EcoCloud Annual Event on IT Sustainability 2024, Lausanne, Switzerland, 2024-10-08.

UrbanTwin: An urban digital twin for climate action

D-A. Constantinescu; V. Kartsch; Y. Nakatsuka; P. Wiese; P. Orbanovik et al. 

EcoCloud Annual Event on IT Sustainability 2024, Lausanne, Switzerland, 2024-10-08.

ACE: Automated optimization towards iterative Classification in Edge health monitors

Y. Wang; L. Orlandic; S. Machetti; G. Ansaloni; D. Atienza Alonso 

IEEE Transactions on Biomedical Circuits and Systems. 2024.  p. 1 – 11. DOI : 10.1109/TBCAS.2024.3468160.

An Evaluation Framework for Dynamic Thermal Management Strategies in 3D MultiProcessor System-on-Chip Co-Design

D. Huang; L. M. Costero Valero; D. Atienza Alonso 

IEEE Transactions on Parallel and Distributed Systems. 2024. 

Energy-Efficient FPGA Solutions for Large-Scale FFTs and Non-Uniform FFTs A Software-Hardware Co-Design Approach for Radio Interferometry

R. Rodríguez Álvarez; D-A. Constantinescu; M. Peon Quiros; A. Devresse; H. Chouh et al. 

Swiss SKA Days 2024, Campus Biotech Geneva, Switzerland, 2024-09-02 – 2024-09-04.

Scaling Sustainable Computing: Advanced ML Techniques for Enhancing the Efficiency in the SKA Regional Data Centers

D-A. Constantinescu; D. Huang; D. Atienza Alonso 

Swiss SKA Days 2024, Campus Biotech Geneva, Switzerland, 2024-09-02 – 2024-09-04.

Which Coupled is Best Coupled? An Exploration of AIMC Tile Interfaces and Load Balancing for CNNs

J. A. H. Klein; I. Boybat; G. Ansaloni; D. Atienza; Marina Zapater Sancho 

IEEE Transactions on Parallel and Distributed Systems. 2024.  p. 1 – 15. DOI : 10.1109/TPDS.2024.3437657.

Energy-Efficient Frequency Selection Method for Bio-Signal Acquisition in AI/ML Wearables

H. Taji; J. A. Miranda Calero; M. Peon Quiros; D. Atienza Alonso 

2024. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED ’24), Newport Beach, CA, USA, August 5–7, 2024. DOI : 10.1145/3665314.3670815.

Neuro-Inspired Edge AI Architectures for Distributed Federated Learning

D-A. Constantinescu; D. Atienza Alonso 

EMERALD 1st Workshop on Distributed Computing with Emerging Hardware Technology, Nantes, France, 2024-06-21.

Validation of artificial intelligence for epileptic seizure detection: Moving from research into the clinic

J. Dan 

Developmental Medicine & Child Neurology. 2024. DOI : 10.1111/dmcn.16002.

Scaling Sustainable Computing New Methods for Enhancing Efficiency in the SKA Regional Data Centers

D-A. Constantinescu; D. Huang; D. Atienza Alonso 

SKACH Spring Meeting 2024, Winterthur, Switzerland, 2024-06-10 – 2024-06-11.

M2SKD: Multi-to-Single Knowledge Distillation of Real-Time Epileptic Seizure Detection for Low-Power Wearable Systems

S. Baghersalimi; A. Amirshahi; F. Forooghifar; T. Teijeiro; AMIR AMINIFAR et al. 

ACM Transactions on Intelligent Systems and Technology. 2024. 

Acoustical Features as Knee Health Biomarkers: A Critical Analysis

C. Kechris; J. P. R. Thevenot; T. Teijeiro; V. Stadelmann; N. Maffiuletti et al. 

2024

DBFS: Dynamic Bitwidth-Frequency Scaling for Efficient Software-defined SIMD

P. Yu; F. Ponzina; A. S. J. Levisse; Biswas Dwaipayan; Ansaloni Giovanni et al. 

2024. 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Knoxville, Tennessee, USA, July 1-3, 2024. p. 204 – 209. DOI : 10.1109/ISVLSI61997.2024.00046.

KID-PPG: Knowledge Informed Deep Learning for Extracting Heart Rate from a Smartwatch

C. Kechris; J. Dan; J. A. Miranda Calero; D. Atienza Alonso 

2024

Intermediate Address Space: virtual memory optimization of heterogeneous architectures for cache-resident workloads

Q. Liu; D. Huang; L. M. Costero Valero; M. Zapater Sancho; D. Atienza Alonso 

ACM Transactions on Architecture and Code Optimization. 2024. DOI : 10.1145/3659207.

Resource-Efficient Continual Learning for Personalized Online Seizure Detection

A. Shahbazinia; F. Ponzina; J. A. Miranda Calero; J. Dan; G. Ansaloni et al. 

2024. 46th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Orlando, Florida, USA, July 15-19, 2024.

SAT-based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs

C. Tirelli; J. Sapriza; R. Rodríguez Álvarez; L. Ferretti; B. W. Denkinger et al. 

ACM Journal on Emerging Technologies in Computing Systems. 2024. DOI : 10.48550/arXiv.2402.12834.

FETCH: A Fast and Efficient Technique for Channel Selection in EEG Wearable Systems

A. Amirshahi; J. Dan; J. A. Miranda Calero; A. Aminifar; D. Atienza Alonso 

2024. Conference on Health, Inference, and Learning, NewYork, US, June 27-28, 2024.

FEMU: An Open-Source RISC-V Emulation Platform for the Exploration of Accelerator-based Edge Applications

S. Machetti; M. Peón Quirós; D. Kasap; J. Sapriza; R. Rodríguez et al. 

Design, Automation and Test in Europe Conference (DATE), Valencia, Spain, 2024.

HEEPocrates: An Ultra-Low-Power RISC-V Microcontroller for Edge-Computing Healthcare Applications

S. Machetti; P. D. Schiavone; C. T. Müller; A. S. J. Levisse; M. Peon Quiros et al. 

2024

An Energy Efficient Soft SIMD Microarchitecture and Its Application on Quantized CNNs

P. Yu; F. Ponzina; A. S. J. Levisse; Gupta Mohit; Biswas Dwaipayan et al. 

IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2024. DOI : 10.1109/TVLSI.2024.3375793.

Is the powersave governor really saving power?

D. Huang; L. M. Costero Valero; D. Atienza Alonso 

2024. The 24th IEEE/ACM International Symposium on Cluster, Cloud, and Internet Computing (CCGrid 2024), Philadelphia, Pennsylvania, USA, May 6-9, 2024.

CloudProphet: A Machine Learning-Based Performance Prediction for Public Clouds

D. Huang; L. M. Costero Valero; A. Pahlevan; M. Zapater Sancho; D. Atienza Alonso 

IEEE Transactions on Sustainable Computing. 2024. 

Accelerator-driven Data Arrangement to Minimize Transformers Run-time on Multi-core Architectures

A. Amirshahi; G. Ansaloni; D. Atienza Alonso 

2024. 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2024), Munich, Germany, January 18, 2024. DOI : 10.4230/OASIcs.PARMA-DITAM.2024.3.

Combining general and personal models for epilepsy detection with hyperdimensional computing

U. Pale; T. Teijeiro; S. Rheims; P. Ryvlin; D. Atienza 

Artificial Intelligence In Medicine. 2024. Vol. 148, p. 102754. DOI : 10.1016/j.artmed.2023.102754.

Distributed Machine Learning Targeting Embedded Systems for Epilepsy Detection

S. Baghersalimi / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2024. 

DC is all you need: describing ReLU from a signal processing standpoint

C. Kechris; J. Dan; J. A. Miranda Calero; D. Atienza Alonso 

2024

Ambulatory seizure detection

A. Bernini; J. Dan; P. Ryvlin 

Current Opinion in Neurology. 2024. Vol. 66, num. 2, p. 144 – 145. DOI : 10.1097/WCO.0000000000001248.

SzCORE: A Seizure Community Open-source Research Evaluation framework for the validation of EEG-based automated seizure detection algorithms

J. Dan; U. Pale; A. Amirshahi; W. Cappelletti; T. M. Ingolfsson et al. 

2024

Exploring High-Performance and Energy-Efficient Architectures for Edge AI-Enabled Applications

J. A. H. Klein / D. Atienza Alonso; M. Zapater Sancho (Dir.)  

Lausanne, EPFL, 2024. 

Don’t Think It Twice: Exploit Shift Invariance for Efficient Online Streaming Inference of CNNs

C. Kechris; J. Dan; J. A. Miranda Calero; D. Atienza Alonso 

2024

EdgeAI-Aware Design of In-Memory Computing Architectures

M. A. Rios / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2024. 

How to Count Coughs: An Event-Based Framework for Evaluating Automatic Cough Detection Algorithm Performance

L. Orlandic; J. Dan; J. Thevenot; T. Teijeiro; A. Sauty et al. 

2024

SynEHRgy: Synthesizing Mixed-Type Structured Electronic Health Records using Decoder-Only Transformers

H. Karami; D. Atienza Alonso; A. Ionescu 

2024. 38th Annual Conference on Neural Information Processing Systems, Vancouver Convention Center, Canada, 2024-12-10 – 2024-12-15. DOI : 10.48550/arXiv.2411.13428.

Dynamic Scheduling for Event-Driven Embedded Industrial Applications

H. Taji; J. A. Miranda Calero; M. Peon Quiros; S. Balási; D. Atienza Alonso 

2023. 31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), Dubai, UAE, October 16-18, 2023. p. 271 – 276. DOI : 10.1109/VLSI-SoC57769.2023.10321845.

A 16-bit Floating-Point Near-SRAM Architecture for Low-power Sparse Matrix-Vector Multiplication

G. A. Eggermann; M. A. Rios; G. Ansaloni; D. Atienza Alonso 

2023. 31st IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Dubai, UAE, October 16-18, 2023. p. 74 – 79. DOI : 10.1109/VLSI-SoC57769.2023.10321838.

REMOTE: Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal

R. Medina Morillas; D. Huang; G. Ansaloni; M. Zapater Sancho; D. Atienza Alonso 

2023. 31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), Dubai, United Arab Emirates, October 16-18, 2023. DOI : 10.1109/VLSI-SoC57769.2023.10321912.

X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller for the Exploration of Ultra-Low-Power Edge Accelerators

S. Machetti; P. D. Schiavone; C. T. Müller; M. Peon Quiros; D. Atienza Alonso 

EcoCloud Event 2023, Lausanne, Switzerland, 2023.

Layer-Wise Learning Framework for Efficient DNN Deployment in Biomedical Wearable Systems

S. Baghersalimi; A. Amirshahi; T. Teijeiro; A. Aminifar; D. Atienza Alonso 

2023. IEEE-EMBS International Conference on Body Sensor Networks: Sensors and Systems for Digital Health (IEEE BSN) 2023, Cambridge, MA, US, October 9-11, 2023. DOI : 10.1109/BSN58485.2023.10331334.

Overflow-free Compute Memories for Edge AI Acceleration

F. Ponzina; M. A. Rios; A. S. J. Levisse; G. Ansaloni; D. Atienza 

Acm Transactions On Embedded Computing Systems. 2023. Vol. 22, num. 5. DOI : 10.1145/3609387.

Event-based sampled ECG morphology reconstruction through self-similarity

S. Zanoli; G. Ansaloni; T. Teijeiro; D. Atienza 

Computer Methods And Programs In Biomedicine. 2023. Vol. 240, p. 107712. DOI : 10.1016/j.cmpb.2023.107712.

Codesign Strategies for Energy-Efficient Exascale Computing for SKA Observatory

D-A. Constantinescu; B. W. Denkinger; M. Peon Quiros; J-P. Kneib; D. Atienza Alonso 

EcoCloud Annual Event on IT Sustainability 2023, Lausanne, Switzerland, 2023-09-22.

A Multimodal Dataset for Automatic Edge-AI Cough Detection

L. Orlandic; J. P. R. Thevenot; T. Teijeiro; D. Atienza Alonso 

2023. 45th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Sydney Australia, July 24-27, 2023. DOI : 10.1109/EMBC40787.2023.10340413.

Tradeoffs in Low-Power Accelerators Design for Large-Scale Interferometers

D-A. Constantinescu; B. W. Denkinger; M. Peon Quiros; D. Atienza Alonso 

The Platform for Advanced Scientific Computing (PASC) Conference, Davos, Switzerland, 26/06/2023.

Validating Full-System RISC-V Simulator: A Systematic Approach

K. Pathak; J. A. H. Klein; G. Ansaloni; M. Zapater Sancho; D. Atienza Alonso 

RISC-V Summit Europe 2023, Barcelona, Spain, 5-9 June, 2023.

X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller

P. D. Schiavone; S. Machetti; M. Peon Quiros; J. A. Miranda Calero; B. W. Denkinger et al. 

2023. 20th ACM International Conference on Computing Frontiers (CF), Bologna, Italy, May 9-11, 2023. p. 379 – 380. DOI : 10.1145/3587135.3591431.

An Open-Hardware Coarse-Grained Reconfigurable Array for Edge Computing

R. Rodríguez Álvarez; B. W. Denkinger; J. Sapriza; J. A. Miranda Calero; G. Ansaloni et al. 

2023. CF23-OSHW: Computing Frontiers Workshop on Open-Source Hardware, Bologna, Italy, May 9-11, 2023. DOI : 10.1145/3587135.3591437.

Dynamic power budget redistribution under a power cap on multi-application environments

L. Costero; F. D. Igual; K. Olcoz 

Sustainable Computing-Informatics & Systems. 2023. Vol. 38, p. 100865. DOI : 10.1016/j.suscom.2023.100865.

Acceleration of Control Intensive Applications on Coarse-Grained Reconfigurable Arrays for Embedded Systems

B. W. Denkinger; M. Peon Quiros; M. Konijnenburg; D. Atienza Alonso; F. Catthoor 

Transactions on Computers. 2023. Vol. 14, num. 8, p. 1 – 13. DOI : 10.1109/TC.2023.3257504.

TiC-SAT: Tightly-coupled Systolic Accelerator for Transformers

A. Amirshahi; J. A. H. Klein; G. Ansaloni; D. Atienza Alonso 

2023. ASP-DAC 2023, Tokyo, Japan, January 16-19, 2023. DOI : 10.1145/3566097.3567867.

Psychosomatic response to acute emotional stress in healthy students

E. Garcia Pages; A. Arza; J. Lazaro; C. Puig; T. Castro et al. 

Frontiers In Physiology. 2023. Vol. 13, p. 960118. DOI : 10.3389/fphys.2022.960118.

EpilepsyNet: Interpretable Self-Supervised Seizure Detection for Low-Power Wearable Systems

B. Huang; R. Zanetti; A. Abtahi; D. Atienza; A. Aminifar 

2023. IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS 2023), Hangzhou, China, June 11-13, 2023. DOI : 10.1109/AICAS57966.2023.10168560.

Enhancing reproducibility of machine learning‐based studies in clinical journals through model cards

J. Dan; N. Seeuws 

Developmental Medicine & Child Neurology. 2023. DOI : 10.1111/dmcn.15785.

Personalised and Adjustable Interval Type-2 Fuzzy-Based PPG Quality Assessment for the Edge

J. A. Miranda; C. Lopez-Ongil; J. Andreu-Perez 

2023. IEEE International Conference on Fuzzy Systems (FUZZ-IEEE), Incheon, SOUTH KOREA, AUG 13-17, 2023. DOI : 10.1109/FUZZ52849.2023.10309733.

Motor-Unit Ordering of Blindly-Separated Surface-EMG Signals for Gesture Recognition

M. Orlandi; M. Zanghieri; D. Schiavone; E. Donati; F. Conti et al. 

2023. 6th International Conference on System-Integrated Intelligence (SysInt), Genova, ITALY, Sep 07-09, 2022. p. 518 – 529. DOI : 10.1007/978-3-031-16281-7_49.

Overflow-free compute memories for edge AI acceleration

F. Ponzina; M. A. Rios; A. S. J. Levisse; G. Ansaloni; D. Atienza Alonso 

2023. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2023), Hamburg, Germany, September 17-22, 2023. DOI : 10.1145/3607888.3609284.

Bit-Line Computing for CNN Accelerators Co-Design in Edge AI Inference

M. Rios; F. Ponzina; A. S. J. Levisse; G. Ansaloni; D. Atienza Alonso 

IEEE Transactions on Emerging Topics in Computing. 2023. Vol. 11, num. 2, p. 358 – 372. DOI : 10.1109/TETC.2023.3237914.

An Error-Based Approximation Sensing Circuit for Event-Triggered Low-Power Wearable Sensors

S. Zanoli; F. Ponzina; T. Teijeiro; A. S. J. Levisse; D. Atienza Alonso 

IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2023. Vol. 13, num. 2, p. 489 – 501. DOI : 10.1109/JETCAS.2023.3269623.

Predicting Survey Response with Quotation-based Modeling: A Case Study on Favorability towards the United States

A. Amirshahi; N. Kirsch; J. Reymond; S. Baghersalimi 

2023. 10th IEEE Swiss Conference on Data Science (SDS), Zurich, SWITZERLAND, Jun 22-23, 2023. p. 1 – 8. DOI : 10.1109/SDS57534.2023.00008.

System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms

R. Medina Morillas; J. A. H. Klein; G. Ansaloni; M. Zapater Sancho; S. Abadal et al. 

2023. 28th Asia and South Pacific Design Automation Conference (ASPDAC ’23), Tokyo, Japan, January 16-19, 2023. DOI : 10.1145/3566097.3567952.

Hyperdimensional computing for biosignal monitoring: Applications for epilepsy detection

U. Pale / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2023. 

Object-oriented modelling of advanced computer cooling solutions

A. Leva; F. Terraneo; T. Cancelliere; M. Chioggi; W. Fornaciari et al. 

2023. 22nd World Congress of the International Federation of Automatic Control (IFAC), Yokohama, JAPAN, JUL 09-14, 2023. p. 6441 – 6446. DOI : 10.1016/j.ifacol.2023.10.856.

Importance of methodological choices in data manipulation for validating epileptic seizure detection models

U. Pale; T. Teijeiro; D. Atienza Alonso 

2023. 45th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Sydney, Australia, July 24-27, 2023. DOI : 10.1109/EMBC40787.2023.10340493.

Hardware-Software co-design Methodologies for Edge AI Optimization

F. Ponzina / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2023. 

A Multimodal Dataset for Automatic Edge-AI Cough Detection

L. Orlandic; J. P. R. Thevenot; T. Teijeiro; D. Atienza Alonso 

2023.

Real-time Personalized Monitoring of Neurological Disorders on Wearable Systems

R. Zanetti / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2023. 

Model-Based ISO 14971 Risk Management of EEG-Based Medical Devices

N. Yakymets; R. Zanetti; M. A. Ionescu; D. Atienza Alonso 

2023. 45th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Sydney Australia, July 24-27, 2023. DOI : 10.1109/EMBC40787.2023.10340131.

A semi-supervised algorithm for improving the consistency of crowdsourced datasets: The COVID-19 case study on respiratory disorder classification

L. Orlandic; T. Teijeiro; D. Atienza 

Computer Methods and Programs in Biomedicine. 2023. Vol. 241, p. 107743. DOI : 10.1016/j.cmpb.2023.107743.

Metamodel for Safety Risk Management of Medical Devices Based on ISO 14971

N. Yakymets; M. A. Ionescu; D. Atienza Alonso 

2023. The ACM/IEEE 26th International Conference on Model-Driven Engineering Languages and Systems, Västerås, Sweden, October 1-6, 2023.

Cross Layer Design for the Predictive Assessment of Technology-Enabled Architectures

M. Niemier; X. S. Hu; L. Liu; M. Sharifi; I. O’Connor et al. 

2023. Design, Automation and Test in Europe Conference and Exhibition (DATE), Antwerp, BELGIUM, Apr 17-19, 2023. DOI : 10.23919/DATE56975.2023.10136923.

Decentralized Federated Learning for Epileptic Seizures Detection in Low-Power Wearable Systems

S. Baghersalimi; T. Teijeiro; A. Aminifar; D. Atienza Alonso 

IEEE Transactions on Mobile Computing. 2023. DOI : 10.1109/TMC.2023.3320862.

Point-process-based Representation Learning for Electronic Health Records

H. Karami; A. Ionescu; D. Atienza 

2023. IEEE-EMBS International Conference on Biomedical and Health Informatics (BHI), Pittsburgh, PA, OCT 15-18, 2023. DOI : 10.1109/BHI58575.2023.10313499.

Exploring brain-inspired multi-core heterogeneous hardware templates for low-power biomedical embedded systems

B. W. Denkinger / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2023. 

ALPINE: Analog In-Memory Acceleration with Tight Processor Integration for Deep Learning

J. A. H. Klein; I. Boybat; Y. M. Qureshi; M. Dazzi; A. S. J. Levisse et al. 

IEEE Transactions on Computers (TC). 2022. DOI : 10.1109/TC.2022.3230285.

ExG Signal Feature Selection Using Hyperdimensional Computing Encoding

U. Pale; T. Teijeiro; D. Atienza 

2022. 2022 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), Las Vegas, NV, USA, 06-08 December 2022. p. 1688 – 1693. DOI : 10.1109/BIBM55620.2022.9995107.

Approximate Zero-Crossing: A new interpretable, highly discriminative and low-complexity feature for EEG and iEEG seizure detection

R. Zanetti; U. Pale; T. Teijeiro; D. Atienza Alonso 

Journal of Neural Engineering. 2022. DOI : 10.1088/1741-2552/aca1e4.

M2D2: Maximum-Mean-Discrepancy Decoder for Temporal Localization of Epileptic Brain Activities

A. Amirshahi; A. Thomas; A. Aminifar; T. Rosing; D. Atienza Alonso 

IEEE Journal of Biomedical and Health Informatics (JBHI). 2022. DOI : 10.1109/jbhi.2022.3208780.

Adaptive R-Peak Detection on Wearable ECG Sensors for High-Intensity Exercise

E. De Giovanni; T. Teijeiro; G. P. Millet; D. Atienza Alonso 

IEEE Transactions on Biomedical Engineering. 2022.  p. 1 – 12. DOI : 10.1109/TBME.2022.3205304.

Using Algorithmic Transformations and Sensitivity Analysis to Unleash Approximations in CNNs at the Edge

F. Ponzina; G. Ansaloni; M. Peon Quiros; D. Atienza Alonso 

MDPI Micromachines – Special Issue “Hardware-Friendly Machine Learning and Its Applications”. 2022. Vol. 13, num. 7. DOI : 10.3390/mi13071143.

Machine-Learning Based Monitoring of Cognitive Workload in Rescue Missions with Drones

F. Dell’Agnola; P-K. Jao; A. Arza; R. Chavarriaga; J. d. R. Millan et al. 

IEEE Journal of Biomedical and Health Informatics. 2022.  p. 1 – 12. DOI : 10.1109/JBHI.2022.3186625.

HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration

W. A. Simon; U. Pale; T. Teijeiro; D. Atienza 

2022. ICCAD 2022 – 41st IEEE/ACM International Conference on Computer-Aided Design, San Diego, USA, 30 October 2022- 3 November 2022. p. 1 – 8. DOI : 10.1145/3508352.3549475.

Error Resilient In-Memory Computing Architecture for CNN Inference on the Edge

M. A. Rios; F. Ponzina; G. Ansaloni; A. S. J. Levisse; D. Atienza Alonso 

2022. Great Lakes Symposium on VLSI 2022 (GLSVLSI ’22), Irvine, California, USA, June 6-8, 2022. DOI : 10.1145/3526241.3530351.

A Formal Framework for Maximum Error Estimation in Approximate Logic Synthesis

I. Scarabottolo; G. Ansaloni; G. A. Constantinides; L. Pozzi 

Ieee Transactions On Computer-Aided Design Of Integrated Circuits And Systems. 2022. Vol. 41, num. 4, p. 840 – 853. DOI : 10.1109/TCAD.2021.3075651.

Multi-Centroid Hyperdimensional Computing Approach for Epileptic Seizure Detection

U. Pale; T. Teijeiro; D. Atienza 

Frontiers in Neurology. 2022. Vol. 13, p. 1 – 13, 816294. DOI : 10.3389/fneur.2022.816294.

Performance of the new SmartCardia wireless, wearable oximeter: a comparison with arterial SaO2 in healthy volunteers

F. Rincon; J. Pidoux; S. Murali; J-J. Goy 

Bmc Anesthesiology. 2022. Vol. 22, num. 1, p. 77. DOI : 10.1186/s12871-022-01604-w.

INCLASS: Incremental Classification Strategy for Self-Aware Epileptic Seizure Detection

L. Ferretti; G. Ansaloni; R. Marquis; T. Teijeiro; P. Ryvlin et al. 

2022. Design Automation and Test in Europe Conference (DATE2022), Grenoble, France, March 16-23, 2022. p. 1449 – 1454. DOI : 10.23919/DATE54114.2022.9774713.

Reinforcement Learning-Based Joint Reliability and Performance Optimization for Hybrid-Cache Computing Servers

D. Huang; A. Pahlevan; L. Costero; M. Zapater Sancho; D. Atienza Alonso 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022. DOI : 10.1109/TCAD.2022.3158832.

Personalized seizure signature: An interpretable approach to false alarm reduction for long‐term epileptic seizure detection

D. Sopic; T. Teijeiro; D. Atienza; A. Aminifar; P. Ryvlin 

Epilepsia. 2022. Vol. [Early access], p. 1 – 11. DOI : 10.1111/epi.17176.

Self-Aware Machine Learning for Chronic Pathology Monitoring on Wearable Devices

F. Forooghifar / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2022. 

Hybrid Handcrafted and Learnable Audio Representation for Analysis of Speech Under Cognitive and Physical Load

G. Elbanna; A. Biryukov; N. Scheidwasser-Clow; L. Orlandic; P. Mainar et al. 

2022. Interspeech Conference, Incheon, SOUTH KOREA, Sep 18-22, 2022. p. 386 – 390. DOI : 10.21437/Interspeech.2022-10498.

Thermal and Power-Aware Run-Time Performance Management of 3D MPSoCs with Integrated Flow Cell Arrays

H. Najibi; A. S. J. Levisse; G. Ansaloni; M. Zapater Sancho; D. Atienza Alonso 

2022. 32nd Great Lakes Symposium on VLSI (GLSVLSI 2022), Irvine, California, USA, June 6-8, 2022. DOI : 10.1145/3526241.3530309.

An Accuracy-Driven Compression Methodology to Derive Efficient Codebook-Based CNNs

F. Ponzina; M. Peon Quiros; G. Ansaloni; D. Atienza Alonso 

2022. IEEE International Conference on Omni-Layer Intelligent Systems (COINS), Barcelona, Spain, August 1-3, 2022. DOI : 10.1109/COINS54846.2022.9854986.

VWR2A: A Very-Wide-Register Reconfigurable-Array Architecture for Low-Power Embedded Devices

B. W. Denkinger; M. Peon Quiros; M. Konijnenburg; D. Atienza Alonso; F. Catthoor 

2022. 59th ACM/IEEE Design Automation Conference (DAC) – From Chips to Systems – Learn Today, Create Tomorrow,, San Francisco, California, USA, June 10-14, 2022. p. 895 – 900. DOI : 10.1145/3489517.3530980.

Thermal and Voltage-Aware Performance Management of 3D MPSoCs with Flow Cell Arrays and Integrated SC Converters

H. Najibi; A. S. J. Levisse; G. Ansaloni; M. Zapater Sancho; M. Vasic et al. 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). 2022. Vol. 1, p. 2 – 15. DOI : 10.1109/TCAD.2022.3168257.

A hardware/software co-design vision for deep learning at the edge

F. Ponzina; S. Machetti; M. A. Rios; B. W. Denkinger; A. S. J. Levisse et al. 

IEEE Micro. 2022. Vol. 42, num. 6, p. 48 – 54. DOI : 10.1109/MM.2022.3195617.

Real-Time EEG-Based Cognitive Workload Monitoring on Wearable Devices

R. Zanetti; A. Arza Valdes; A. Aminifar; D. Atienza Alonso 

IEEE Transactions on Biomedical Engineering. 2022. Vol. 69, num. 1, p. 265 – 277. DOI : 10.1109/TBME.2021.3092206.

Design and management of three-dimensional multi-processor systems-on-chip with integrated flow cell arrays

H. Najibi / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2022. 

COCKTAIL: Multi-Core Co-Optimization Framework With Proactive Reliability Management

D. Huang; A. Pahlevan; M. Zapater Sancho; D. Atienza Alonso 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022. Vol. 41, num. 2, p. 386 – 399. DOI : 10.1109/TCAD.2021.3058959.

Exploration of Hyperdimensional Computing Strategies for Enhanced Learning on Epileptic Seizure Detection

U. Pale; T. Teijeiro; D. Atienza Alonso 

2022. 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC 2022), Glasgow, Scotland, July 11-15, 2022. p. 4076 – 4082. DOI : 10.1109/EMBC48229.2022.9870919.

A Self-Aware Epilepsy Monitoring System for Real-Time Epileptic Seizure Detection

F. Forooghifar; A. Aminifar; L. Cammoun; D. Atienza Alonso; I. Wisniewski et al. 

Mobile Networks and Applications. 2022. Vol. 27, p. 677 – 690. DOI : 10.1007/s11036-019-01322-7.

Full System Exploration of On-Chip Wireless Communication on Many-Core Architectures

R. Medina Morillas; J. A. H. Klein; Y. M. Qureshi; M. Zapater Sancho; G. Ansaloni et al. 

2022. 2022 IEEE 13th Latin America Symposium on Circuits and System (LASCAS), Santiago de Chile, Chile, March 1-4, 2022. p. 1 – 4. DOI : 10.1109/LASCAS53948.2022.9893905.

In-Memory Hardware and Architectural Extensions for Workloads Acceleration

W. A. Simon / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2022. 

CAFS: Cost-Aware Features Selection Method for Multimodal Stress Monitoring on Wearable Devices

N. Momeni; A. Arza Valdes; J. Rodrigues; C. Sandi; D. Atienza Alonso 

IEEE Transactions on Biomedical Engineering. 2022. Vol. 69, num. 3, p. 1072 – 1084. DOI : 10.1109/TBME.2021.3113593.

MAGNETIC: Multi-Agent Machine Learning-Based Approach for Energy Efficient Dynamic Consolidation in Data Centers

K. Haghshenas; A. Pahlevan; M. Zapater Sancho; S. Mohammadi; D. Atienza Alonso 

IEEE Transactions on Services Computing. 2022. Vol. 15, num. 1, p. 30 – 44. DOI : 10.1109/TSC.2019.2919555.

A Semi-Supervised Algorithm for Improving the Consistency of Crowdsourced Datasets: The COVID-19 Case Study on Respiratory Disorder Classification

L. Orlandic; T. Teijeiro; D. Atienza 

2022

DB4HLS: A Database of High-Level Synthesis Design Space Explorations

L. Ferretti; J. Kwon; G. Ansaloni; G. Di Guglielmo; L. Carloni et al. 

Ieee Embedded Systems Letters. 2021. Vol. 13, num. 4, p. 194 – 197. DOI : 10.1109/LES.2021.3066882.

Breaking Silos to Guarantee Control Stability with Communication over Ethernet TSN

R. Mahfouzi; A. Aminifar; S. Samii; A. Rezine; P. Eles et al. 

Ieee Design & Test. 2021. Vol. 38, num. 5, p. 48 – 56. DOI : 10.1109/MDAT.2020.2968281.

MBioTracker: Multimodal Self-Aware Bio-Monitoring Wearable System for Online Workload Detection

F. I. T. Dell’Agnola; U. Pale; R. Marino; A. Arza Valdes; D. Atienza Alonso 

IEEE Transactions on Biomedical Circuits and Systems. 2021. Vol. 15, num. 5, p. 994 – 1007. DOI : 10.1109/TBCAS.2021.3110317.

Personalized Real-Time Federated Learning for Epileptic Seizure Detection

S. Baghersalimi; T. Teijeiro; D. Atienza; A. Aminifar 

IEEE Journal of Biomedical and Health Informatics. 2021.  p. 1 – 1. DOI : 10.1109/JBHI.2021.3096127.

A Flexible In-Memory Computing Architecture for Heterogeneously Quantized CNNs

F. Ponzina; M. A. Rios; G. Ansaloni; A. S. J. Levisse; D. Atienza Alonso 

2021. IEEE Computer Society Annual Symposium on VLSI, Tampa, Florida, USA (Virtual), July 7-9, 2021. p. 164 – 169. DOI : 10.1109/ISVLSI51109.2021.00039.

The COUGHVID crowdsourcing dataset, a corpus for the study of large-scale cough analysis algorithms

L. Orlandic; T. Teijeiro; D. Atienza Alonso 

Scientific Data. 2021. Vol. 8, p. 156. DOI : 10.1038/s41597-021-00937-4.

Wearable and Continuous Prediction of Passage of Time Perception for Monitoring Mental Health

L. Orlandic; A. A. Valdes; D. Atienza 

2021. 2021 IEEE 34th International Symposium on Computer-Based Medical Systems (CBMS), Online, June 7-9, 2021. p. 444 – 449. DOI : 10.1109/CBMS52027.2021.00050.

Interpreting deep learning models for epileptic seizure detection on EEG signals

V. Gabeff; T. Teijeiro; M. Zapater; L. Cammoun; S. Rheims et al. 

Artificial Intelligence in Medicine. 2021. Vol. 117, p. 102084. DOI : 10.1016/j.artmed.2021.102084.

3D-ICE 3.0: efficient nonlinear MPSoC thermal simulation with pluggable heat sink models

F. Terraneo; A. Leva; W. Fornaciari; M. Zapater Sancho; D. Atienza Alonso 

Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021. Vol. 40, num. na, p. 1 – 14. DOI : 10.1109/TCAD.2021.3074613.

SPARE: A Spectral Peak Recovery Algorithm for PPG Signals Pulsewave Reconstruction in Multimodal Wearable Devices

G. Masinelli; F. I. T. Dell’Agnola; A. Arza Valdes; D. Atienza Alonso 

Sensors. 2021. Vol. 21, num. 8, p. 2725. DOI : 10.3390/s21082725.

Self-Aware Anomaly-Detection for Epilepsy Monitoring on Low-Power Wearable Electrocardiographic Devices

F. Forooghifar; A. Aminifar; T. Teijeiro; A. Aminifar; J. Jeppesen et al. 

2021. 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, Online, May 3-7, 2021. DOI : 10.1109/AICAS51828.2021.9458555.

Running Efficiently CNNs on the Edge Thanks to Hybrid SRAM-RRAM In-Memory Computing

M. A. Rios; F. Ponzina; G. Ansaloni; A. S. J. Levisse; D. Atienza Alonso 

2021. DATE 2021 Design, Automation and Test in Europe Conference, Virtual Conference and Exhibition, February 1-5, 2021. p. 1881 – 1886. DOI : 10.23919/DATE51398.2021.9474233.

Real-Time Personalized Atrial Fibrillation Prediction on Multi-Core Wearable Sensors

E. De Giovanni; A. Arza Valdes; M. Peon Quiros; A. Aminifar; D. Atienza Alonso 

IEEE Transactions on Emerging Topics in Computing. 2021. Vol. 9, num. 4, p. 1654 – 1666. DOI : 10.1109/TETC.2020.3014847.

Exact Neural Networks from Inexact Multipliers via Fibonacci Weight Encoding

W. A. Simon; V. Rey; A. S. J. Levisse; G. Ansaloni; M. Zapater Sancho et al. 

2021. 58th Design Automation Conference (DAC), San Francisco, California, USA, December 5-9, 2021. p. 805 – 810. DOI : 10.1109/DAC18074.2021.9586245.

Associativity-agnostic in-cache computing memory architecture optimized for multiplication

M. A. Rios; W. A. Simon; A. S. Levisse; M. Zapater; D. Atienza Alonso 

US11211115; US2021350846.

2021.

Modelling of vertical and ferroelectric junctionless technology for efficient 3D neural network compute cube dedicated to embedded artificial intelligence

C. Maneux; C. Mukherjee; M. Deng; M. Dubourg; L. Reveil et al. 

2021. 67th Annual IEEE International Electron Devices Meeting (IEDM 2021), San Francisco, California, USA, December 11-15, 2021. p. 15.6.1 – 15.6.4. DOI : 10.1109/IEDM19574.2021.9720572.

Memory chip or memory array for wide-voltage range in-memory computing using bitline technology

W. A. Simon; M. A. Rios; A. S. Levisse; M. Zapater; D. Atienza Alonso 

US11094355.

2021.

EpilepsyGAN: Synthetic Epileptic Brain Activities with Privacy Preservation

D. Pascual Ortiz; A. Amirshahi; A. Aminifar; D. Atienza; P. Ryvlin et al. 

IEEE Transactions on Biomedical Engineering. 2021. Vol. 68, num. 8, p. 2435 – 2446. DOI : 10.1109/TBME.2020.3042574.

Multi-Agent Reinforcement Learning for Hyperparameter Optimization of Deep Convolutional Neural Networks

A. Iranfar; M. Zapater Sancho; D. Atienza Alonso 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021. Vol. na, num. na, p. na. DOI : 10.1109/TCAD.2021.3077193.

The COUGHVID crowdsourcing dataset: A corpus for the study of large-scale cough analysis algorithms

L. Orlandic; T. Teijeiro; D. Atienza Alonso 

2021.

System-level Design of Adaptive Wearable Sensors for Health and Wellness Monitoring

E. De Giovanni / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2021. 

ECOGreen: Electricity Cost Optimization for Green Datacenters in Emerging Power Markets

A. Pahlevan; M. Zapater Sancho; A. K. Coskun; D. Atienza Alonso 

IEEE Transactions on Sustainable Computing (T-SUSC). 2021. Vol. 6, num. 2, p. 289 – 305. DOI : 10.1109/TSUSC.2020.2983571.

Gem5-X : A Many-Core Heterogeneous Simulation Platform for Architectural Exploration and Optimization

Y. M. Qureshi; W. A. Simon; M. Zapater Sancho; K. Olcoz; D. Atienza Alonso 

ACM Transactions on Architecture and Code Optimization. 2021. Vol. 18, num. 4, p. 44. DOI : 10.1145/3461662.

Architecture Exploration and Optimization of Heterogeneous Many-Core Compute and Memory Architectures with Architectural Extensions

Y. M. Qureshi / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2021. 

ReLearn: A Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals

A. Iranfar; A. Arza Valdes; D. Atienza Alonso 

2021. 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Virtual event, October 31 – November 4, 2021. p. 535 – 541. DOI : 10.1109/EMBC46164.2021.9630040.

A medical system and method using a pair of gloves equipped with physiological sensors

D. Majoe; D. Atienza Alonso 

WO2021148921.

2021.

ReBeatICG: Real-time Low-Complexity Beat-to-beat Impedance Cardiogram Delineation Algorithm

U. Pale; N. Müller; A. Arza Valdes; D. Atienza Alonso 

2021. 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC, Virtual, October 31 – November 4, 2021. DOI : 10.1109/EMBC46164.2021.9630170.

E2CNN: Ensembles of Convolutional Neural Networks to Improve Robustness Against Memory Errors in Edge-Computing Devices

F. Ponzina; M. Peon Quiros; A. P. Burg; D. Atienza Alonso 

IEEE Transactions on Computers. 2021. Vol. 70, num. 8, p. 1199 – 1212. DOI : 10.1109/TC.2021.3061086.

Synthetic realistic noise-corrupted PPG database and noise generator for the evaluation of PPG denoising and delineation algorithms

G. Masinelli; F. Dell’Agnola; A. Valdés; D. Atienza 

2021.

Systematic Assessment of Hyperdimensional Computing for Epileptic Seizure Detection

U. Pale; T. Teijeiro; D. Atienza Alonso 

2021. 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC, Virtual, October 31 – November 4, 2021. DOI : 10.1109/EMBC46164.2021.9629648.

Towards Continuous and Ambulatory Blood Pressure Monitoring: Methods for Efficient Data Acquisition for Pulse Transit Time Estimation

O. Ode; L. Orlandic; O. T. Inan 

Sensors. 2020. Vol. 20, num. 24, p. 7106. DOI : 10.3390/s20247106.

EEG Correlates of Difficulty Levels in Dynamical Transitions of Simulated Flying and Mapping Tasks

P-K. Jao; R. Chavarriaga; F. Dell’Agnola; A. Arza; D. Atienza et al. 

IEEE Transactions on Human-Machine Systems. 2020.  p. 1 – 10. DOI : 10.1109/THMS.2020.3038339.

Predictive Reliability and Fault Management in Exascale Systems

R. Canal; C. Hernandez; R. Tornero; A. Cilardo; G. Massari et al. 

ACM Computing Surveys. 2020. Vol. 53, num. 5, p. 1 – 32. DOI : 10.1145/3403956.

Cardiac Ambulatory Monitoring: New Wireless Device Validated Against Conventional Holter Monitoring in a Case Series

S. Murali; N. Brugger; F. Rincon; M. Mashru; S. Cook et al. 

Frontiers In Cardiovascular Medicine. 2020. Vol. 7, p. 587945. DOI : 10.3389/fcvm.2020.587945.

The RECIPE approach to challenges in deeply heterogeneous high performance systems

G. Agosta; W. Fornaciari; D. Atienza; R. Canal; A. Cilardo et al. 

Microprocessors And Microsystems. 2020. Vol. 77, p. 103185. DOI : 10.1016/j.micpro.2020.103185.

Analysis of Functional Errors Produced by Long-Term Workload-Dependent BTI Degradation in Ultra-Low Power Processors

L. Duch; M. Peón-Quirós; P. Weckx; A. S. J. Levisse; R. Braojos Lopez et al. 

IEEE Transactions on Very Large Scale Integration Systems. 2020. Vol. 28, num. 10, p. 2122 – 2133. DOI : 10.1109/TVLSI.2020.3003471.

Write Termination circuits for RRAM : A Holistic Approach From Technology to Application Considerations

A. S. J. Levisse; M. Bocquet; M. A. Rios; M. Alayan; M. Moreau et al. 

Ieee Access. 2020. Vol. 8, p. 109297 – 109308. DOI : 10.1109/ACCESS.2020.3000867.

Noninvasive detection of focal seizures in ambulatory patients

P. Ryvlin; L. Cammoun; I. Hubbard; F. Ravey; S. Beniczky et al. 

Epilepsia. 2020. Vol. 61, num. S1, p. S47 – S54. DOI : 10.1111/epi.16538.

Containergy-A Container-Based Energy and Performance Profiling Tool for Next Generation Workloads

W. Silva-de-Souza; A. Iranfar; A. Braulio; M. Zapater; S. Xavier-de-Souza et al. 

Energies. 2020. Vol. 13, num. 9, p. 2162. DOI : 10.3390/en13092162.

In-depth assessment of potential new data integration into the MIP

T. Teijeiro 

2020

Recommendations for the MIP Technical Development During SGA3

T. Teijeiro 

2020

Self-Aware Machine Learning for Multimodal Workload Monitoring During Manual Labor on Edge Wearable Sensors

G. Masinelli; F. Forooghifar; A. Arza; A. Aminifar; D. Atienza 

IEEE Design & Test. 2020. Vol. 37, num. 5, p. 58 – 66. DOI : 10.1109/MDAT.2020.2977070.

Cognitive workload monitoring in virtual reality based rescue missions with drones

F. I. T. Dell’Agnola; N. Momeni; A. Arza Valdes; D. Atienza 

2020. 12th International Conference on Virtual, Augmented and Mixed Reality, Copenhagen, Denmark, July 19-24, 2020. p. 397 – 409. DOI : 10.1007/978-3-030-49695-1_26.

Impact of Memory Voltage Scaling on Accuracy and Resilience of Deep Learning Based Edge Devices

B. W. Denkinger; F. Ponzina; S. S. Basu; A. Bonetti; S. Balási et al. 

IEEE Design & Test. 2020. Vol. 37, num. 2, p. 84 – 92. DOI : 10.1109/MDAT.2019.2947282.

RRAM-VAC: A Variability-Aware Controller for RRAM-based Memory Architectures

S. Tuli; M. A. Rios; A. S. J. Levisse; D. Atienza Alonso 

2020. 25th Asia and South Pacific Design Automation Conference ASP-DAC 2020, Beijing China, January 13-16, 2020. p. 181 – 186. DOI : 10.1109/ASP-DAC47756.2020.9045220.

An Event-Based System for Low-Power ECG QRS Complex Detection

S. Zanoli; T. Teijeiro; F. Montagna; D. Atienza Alonso 

2020. DATE 2020 – Design, Automation & Test In Europe Conference & Exhibition, Grenoble, France, March 9-13, 2020. p. 258 – 263. DOI : 10.23919/DATE48585.2020.9116498.

Security-aware Routing and Scheduling for Control Applications on Ethernet TSN Networks

R. Mahfouzi; A. Aminifar; S. Samii; P. Eles; Z. Peng 

Acm Transactions On Design Automation Of Electronic Systems. 2020. Vol. 25, num. 1, p. 1. DOI : 10.1145/3358604.

Adaptive Laser Welding Control: A Reinforcement Learning Approach

G. Masinelli; Tri Le-Quang; S. Zanoli; K. Wasmer; S. A. Shevchik 

IEEE Access. 2020. Vol. 8, p. 103803 – 103814. DOI : 10.1109/ACCESS.2020.2998052.

Knowledge, Machine Learning and Atrial Fibrillation: More Ingredients for a Tastier Cocktail

T. Teijeiro 

2020. 2020 Computing in Cardiology Conference (CinC), Rimini, Italy, September 13th-16th, 2020. DOI : 10.22489/CinC.2020.476.

Towards Deeply Scaled 3D MPSoCs with Integrated Flow Cell Array Technology

H. Najibi; A. S. J. Levisse; M. Zapater Sancho; M. M. S. Aly; D. Atienza Alonso 

2020. ACM Great Lakes Symposium on VLSI (GLSVLSI), Beijing, China, September, 7-9, 2020. p. 513 – 518. DOI : 10.1145/3386263.3406923.

Heterogeneous Memory Organizations in Embedded Systems. Placement of Dynamic Data Objects

M. Peón Quirós; F. Catthoor; J. M. Mendías Cuadros 

Springer International Publishing, 2020.

A Hybrid Cache HW/SW Stack for Optimizing Neural Network Runtime, Power and Endurance

W. A. Simon; A. S. J. Levisse; M. Zapater Sancho; D. Atienza Alonso 

2020. 28th IFIP/IEEE International Conference on Very Large Scale Integration, Salt Lake City, Utah, USA, October 5-9, 2020. p. 94 – 99. DOI : 10.1109/VLSI-SOC46417.2020.9344087.

Enabling Optimal Power Generation of Flow Cell Arrays in 3D MPSoCs with On-Chip Switched Capacitor Converters

H. Najibi; J. Hunter; A. S. J. Levisse; M. Zapater Sancho; M. Vasic et al. 

2020. IEEE Computer Society Annual Symposium on VLSI, Limassol, Cyprus, July 6-8, 2020. p. 18 – 23. DOI : 10.1109/ISVLSI49217.2020.00014.

Blade: An in-Cache Computing Architecture for Edge Devices

W. A. Simon; Y. M. Qureshi; M. A. Rios; A. S. J. Levisse; M. Zapater Sancho et al. 

Ieee Transactions On Computers. 2020. Vol. 69, num. 9, p. 1349 – 1363. DOI : 10.1109/TC.2020.2972528.

Exploration Methodology for BTI-Induced Failures on RRAM-Based Edge AI Systems

A. S. J. Levisse; M. A. Rios; M. Peon Quiros; D. Atienza Alonso 

2020. 45th International Conference on Acoustics, Speech, and Signal Processing _ ICASSP 2020, Barcelona, Spain, 4-6 May, 2020. p. 1549 – 1552. DOI : 10.1109/ICASSP40776.2020.9054524.

Modular Design and Optimization of Biomedical Applications for Ultra-Low Power Heterogeneous Platforms

E. De Giovanni; F. Montagna; B. W. Denkinger; S. Machetti; M. Peon Quiros et al. 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020. Vol. 39, num. 11, p. 3821 – 3832. DOI : 10.1109/TCAD.2020.3012652.

Robust Epileptic Seizure Detection on Wearable Systems with Reduced False-Alarm Rate

R. Zanetti; A. Aminifar; D. Atienza Alonso 

2020. 42nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Montréal, Québec, CA, July 20-24, 2020. p. 4248 – 4251. DOI : 10.1109/EMBC44109.2020.9175339.

Wearable and Self-Aware Machine Learning System for Online Cognitive Workload Monitoring and Drone Control

F. I. T. Dell’Agnola / D. Atienza Alonso; D. R. Barrettino (Dir.)  

Lausanne, EPFL, 2020. 

Noise-Resilient and Interpretable Epileptic Seizure Detection

A. Hitchcock Thomas; A. Aminifar; D. Atienza Alonso 

2020. IEEE International Symposium on Circuits and Systems – ISCAS 2020, ELECTR NETWORK, October 10-21, 2020. DOI : 10.1109/ISCAS45731.2020.9180429.

Genome Sequence Alignment – Design Space Exploration for Optimal Performance and Energy Architectures

Y. M. Qureshi; J. M. Herruzo; M. Zapater; K. Olcoz; S. Gonzalez Navarro et al. 

IEEE Transactions on Computers. 2020. Vol. 14, num. 8, p. 1 – 14. DOI : 10.1109/TC.2020.3041402.

Resource Management for Power-Constrained HEVC Transcoding Using Reinforcement Learning

L. Costero; A. Iranfar; M. Zapater Sancho; F. D. Igual; K. Olcoz et al. 

IEEE Transactions on Parallel and Distributed Systems. 2020. Vol. 31, num. 12, p. 2834 – 2850. DOI : 10.1109/TPDS.2020.3004735.

Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning

A. Iranfar; F. Terraneo; G. Csordas; M. Zapater Sancho; W. Fornaciari et al. 

2020. Design, Automation, and Test in Europe – DATE2020, Grenoble, France, March 9-13, 2020. p. 418 – 423. DOI : 10.23919/DATE48585.2020.9116510.

Monitoring of Cardiovascular and Neurological Diseases Using Wearable Devices

D. Sopic / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2020. 

Multi-Objective Management of Multiprocessor Systems: From Heuristics to Reinforcement Learning

A. Iranfar / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2020. 

Functionality Enhanced Memories for Edge-AI Embedded Systems

A. S. J. Levisse; M. A. Rios; W. A. Simon; P-E. J. M. Gaillardon; D. Atienza Alonso 

2019. Non-Volatile Memory Technology Symposium 2019, Durham, North Carolina, USA, October 28-30, 2019. DOI : 10.1109/NVMTS47818.2019.8986214.

Event-Triggered Sensing for High-Quality and Low-Power Cardiovascular Monitoring Systems

G. Surrel; T. Teijeiro; M. Chevrier; A. Aminifar; D. Atienza Alonso 

IEEE Design & Test. 2019. Vol. 37, num. 5, p. 85 – 93. DOI : 10.1109/MDAT.2019.2951126.

Resource-Aware Distributed Epilepsy Monitoring Using Self-Awareness From Edge to Cloud

F. Forooghifar; A. Aminifar; D. Atienza Alonso 

IEEE Transactions on Biomedical Circuits and Systems. 2019. Vol. 13, num. 6, p. 1338 – 1350. DOI : 10.1109/TBCAS.2019.2951222.

An Associativity-Agnostic in-Cache Computing Architecture Optimized for Multiplication

M. A. Rios; W. A. Simon; A. S. J. Levisse; M. Zapater Sancho; D. Atienza Alonso 

2019.  p. 34 – 39. DOI : 10.1109/VLSI-SoC.2019.8920317.

A QoS and Container-Based Approach for Energy Saving and Performance Profiling in Multi-Core Servers

W. S. de Souza; A. Iranfar; A. Silva; M. Zapater; S. X. de Souza et al. 

2019. 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), Cuzco, Peru, October 6-9, 2019. p. 230 – 231. DOI : 10.1109/VLSI-SoC.2019.8920379.

REWARD: Design, Optimization, and Evaluation of a Real-Time Relative-Energy Wearable R-Peak Detection Algorithm *

L. Orlandic; E. De Giovanni; A. Arza; S. Yazdani; J-M. Vesin et al. 

2019. 2019 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Berlin, Germany, July 23-27, 2019. p. 3341 – 3347. DOI : 10.1109/EMBC.2019.8857226.

A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories

J. Vieira; E. Giacomin; Y. M. Qureshi; M. Zapater Sancho; X. Tang et al. 

2019. 27th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Cuzco, Peru, October 6-9, 2019. p. 160 – 165. DOI : 10.1109/VLSI-SoC.2019.8920343.

HackRF plus GNU Radio: A software-defined radio to teach communication theory

A. A. Del Barrio; J. P. Manzano; V. M. Maroto; A. Villarin; J. Pagan et al. 

International Journal Of Electrical Engineering Education. 2019.  p. 0020720919868144. DOI : 10.1177/0020720919868144.

DermoNet: densely linked convolutional neural network for efficient skin lesion segmentation

S. Baghersalimi; B. Bozorgtabar; P. Schmid-Saugeon; H. K. Ekenel; J-P. Thiran 

Eurasip Journal On Image And Video Processing. 2019.  p. 71. DOI : 10.1186/s13640-019-0467-y.

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM

D. M. Mathew; A. L. Chinazzo; C. Weis; M. Jung; B. Giraud et al. 

2019. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX), Samos, Greece, July 7-11, 2019. p. 34 – 47. DOI : 10.1007/978-3-030-27562-4_3.

RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM

D. Mathew; A. Chinazzo; C. Weis; M. Jung; B. Giraud et al. 

2019. Embedded Computer Systems: Architectures, Modeling, and Simulation: 19th International Conference, SAMOS 2019, Samos, Greece, July 7-11, 2019. p. 34 – 47. DOI : 10.1007/978-3-030-27562-4_3.

A Fast, Reliable and Wide-voltage-range In-memory Computing Architecture

W. A. Simon; J-M. Galicia; A. S. J. Levisse; M. Zapater Sancho; D. Atienza Alonso 

2019. IEEE/ACM Design Automation Conference (DAC), Las Vegas, Nevada, USA., june 2-6, 2019. DOI : 10.1145/3316781.3317741.

BLADE: A BitLine Accelerator for Devices on the Edge

W. A. Simon; Y. M. Qureshi; A. S. J. Levisse; M. Zapater Sancho; D. Atienza Alonso 

2019. 29th Edition of the ACM Great Lakes Symposium on VLSI (GLSVLSI 2019), Tysons Corner, VA, USA, May 9-11, 2019. DOI : 10.1145/3299874.3317979.

Gem5-X: A Gem5-Based System Level Simulation Framework to Optimize Many-Core Platforms

Y. M. Qureshi; W. A. Simon; M. Zapater Sancho; K. Olcoz; D. Atienza Alonso 

2019. 27th High Performance Computing Symposium (HPC 2019), SpringSim’19, Tucson, Arizona, USA, April 29 – May 2, 2019. DOI : 10.23919/SpringSim.2019.8732862.

A Self-Learning Methodology for Epileptic Seizure Detection with Minimally Supervised Edge Labeling

D. Pascual Ortiz; A. Aminifar; D. Atienza Alonso 

2019. Design, Automation and Test in Europe (DATE), Florence, Italy, March 25-29, 2019. p. 764 – 769. DOI : 10.23919/DATE.2019.8714995.

LSST: From Science Drivers to Reference Design and Anticipated Data Products

Z. Ivezic; S. M. Kahn; J. A. Tyson; B. Abel; E. Acosta et al. 

Astrophysical Journal. 2019. Vol. 873, num. 2, p. 111. DOI : 10.3847/1538-4357/ab042c.

Definition Of A Transparent Constraint-Based Modeling And Simulation Layer For The Management Of Complex Systems

K. Henares; J. L. Risco-Martin; M. Zapater 

2019. Spring Simulation Conference (SpringSim), Tucson, AZ, Apr 29-May 02, 2019. DOI : 10.23919/SpringSim.2019.8732847.

Low Power Sensing and Processing in Wearable Biomedical Devices for Personalized Health Monitoring

G. C. J. Surrel / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2019. 

Measuring acute stress response through physiological signals: towards a quantitative assessment of stress

A. Arza; J. M. Garzón-Rey; J. Lázaro; E. Gil; R. Lopez-Anton et al. 

Medical & Biological Engineering & Computing. 2019. Vol. 57, p. 271 – 287. DOI : 10.1007/s11517-018-1879-z.

Results from Running an Experiment as a Service Platform for Mobile Broadband Networks in Europe

V. Mancuso; M. Peón Quirós; C. Midoglu; M. Moulay; V. Comite et al. 

Computer Communications. 2019. Vol. 133, p. 89 – 101. DOI : 10.1016/j.comcom.2018.09.004.

Switching event detection and self-termination programming circuit for energy efficient ReRAM memory arrays

M. Alayan; E. Muhr; A. S. J. Levisse; M. Bocquet; M. Moreau et al. 

IEEE Transactions on Circuits and Systems II. 2019. Vol. 66, num. 5, p. 748 – 752. DOI : 10.1109/TCSII.2019.2908967.

A Design Framework for Thermal-Aware Power Delivery Network in 3D MPSoCs with Integrated Flow Cell Arrays

H. Najibi; A. S. J. Levisse; M. Zapater Sancho 

2019. ACM/IEEE International Symposium on Low Power Electronics and Design, Lausanne, Switzerland, July 29-31, 2019. DOI : 10.1109/ISLPED.2019.8824895.

i-DPs CGRA: An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-signal Processing

L. G. Duch; S. S. Basu; M. Peon Quiros; G. Ansaloni; L. Pozzi et al. 

IEEE Embedded Systems Letters (ESL). 2019. Vol. 11, num. 2, p. 50 – 53. DOI : 10.1109/LES.2018.2849267.

Teleoperation with a wearable sensor system

J. Miehlbradt; F. I. T. Dell’Agnola; A. Cherpillod; M. Coscia; F. Artoni et al. 

US12019438; US2021247758; WO2019244112; GB2574886; GB201810285.

2019.

Tailoring SVM Inference for Resource-Efficient ECG-Based Epilepsy Monitors

L. Ferretti; G. Ansaloni; L. Pozzi; A. Aminifar; D. Atienza Alonso et al. 

2019. Design, Automation & Test in Europe Conference (DATE), Florence, Italy, 25-29 March 2019. p. 948 – 951. DOI : 10.23919/DATE.2019.8714858.

Multi-Modal Acute Stress Recognition Using Off-the-Shelf Wearable Devices

V. Montesinos; F. Dell’Agnola; A. Arza; A. Aminifar; D. Atienza 

2019. 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Berlin, GERMANY, Jul 23-27, 2019. p. 2196 – 2201. DOI : 10.1109/EMBC.2019.8857130.

Enhancing Two-Phase Cooling Efficiency through Thermal- Aware Workload Mapping for Power-Hungry Servers

A. Iranfar; A. Pahlevan; M. Zapater Sancho; D. Atienza Alonso 

2019. IEEE/ACM 2019 Design, Automation, and Test in Europe (DATE) Conference, Florence, Italy, March 25-29, 2019. p. 50 – 55. DOI : 10.23919/DATE.2019.8715033.

Multi-Objective System-Level Management of Modern Green Data Centers

A. Pahlevan / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2019. 

MAMUT: Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-User Video Transcoding

L. Costero; A. Iranfar; M. Zapater Sancho; F. D. Igual; K. Olcoz et al. 

2019. Design, Automation, and Test in Europe (DATE), Florence, Italy, March, 25-29, 2019. p. 558 – 563. DOI : 10.23919/DATE.2019.8715256.

Hardware/Software Co-Design and Reliability Analysis of Ultra-Low Power Biomedical Devices

S. S. Basu / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2019. 

Real-Time Cognitive Workload Monitoring Based on Machine Learning Using Physiological Signals in Rescue Missions

N. Momeni; F. Dell’Agnola; A. Arza; D. Atienza 

2019. 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Berlin, GERMANY, Jul 23-27, 2019. p. 3779 – 3785. DOI : 10.1109/EMBC.2019.8857501.

Pattern Recognition in Non-uniformly sampled electrocardiogram signal for wearable sensors

S. Zanoli 

2019.

Butterfly Attack: Adversarial Manipulation of Temporal Properties of Cyber-Physical Systems

R. Mahfouzi; A. Aminifar; S. Samii; M. Payer; P. Eles et al. 

2019. 40th IEEE Real-Time Systems Symposium (RTSS), Hong Kong, HONG KONG, Dec 03-06, 2019. p. 93 – 106. DOI : 10.1109/RTSS46320.2019.00019.

A Machine Learning-Based Framework for Throughput Estimation of Time-Varying Applications in Multi-Core Servers

A. Iranfar; W. Silva De Souza; M. Zapater Sancho; K. Olcoz; S. Xavier de Souza et al. 

2019. 27th IFIP/IEEE International Conference on Very Large Scale Integration, Cuzco, Peru, October 6-9, 2019. p. 211 – 216. DOI : 10.1109/VLSI-SoC.2019.8920309.

A wearable system for real-time detection of epileptic seizures

A. Aminifar; D. Sopic; D. Atienza Alonso; R. Zanetti 

EP3755219; US2020375524; WO2019162850.

2019.

Resistive Switching Memory Architecture Based on Polarity Controllable Selectors

A. S. J. Levisse; P-E. J. M. Gaillardon; B. Giraud; I. O’Connor; J. P. Noel et al. 

IEEE Transactions on Nanotechnology. 2018. Vol. 18, p. 184 – 194. DOI : 10.1109/TNANO.2018.2887140.

RRAM Crossbar Arrays for Storage Class Memory Applications : Throughput and Density Considerations

A. S. J. Levisse; B. Giraud; J-P. Noel; M. Moreau; J-M. Portal 

2018. XXXIII CONFERENCE ON DESIGN OF CIRCUITS AND INTEGRATED SYSTEMS (DCIS), Lyon, November 14-16, 2018. DOI : 10.1109/DCIS.2018.8681470.

Exploring manycore architectures for next-generation HPC systems through the MANGO approach

J. Flich; G. Agosta; P. Ampletzer; D. A. Alonso; C. Brandolese et al. 

Microprocessors And Microsystems. 2018. Vol. 61, p. 154 – 170. DOI : 10.1016/j.micpro.2018.05.011.

Online Obstructive Sleep Apnea Detection on Medical Wearable Sensors

G. Surrel; A. Aminifar; F. J. Rincon Vallejos; S. Murali; D. Atienza Alonso 

IEEE Transactions on Biomedical Circuits and Systems. 2018. Vol. 12, num. 4, p. 762 – 773. DOI : 10.1109/TBCAS.2018.2824659.

Optimization of Message Encryption for Real-Time Applications in Embedded Systems

A. Aminifar; P. Eles; Z. Peng 

IEEE Transactions on Computers. 2018. Vol. 67, num. 5, p. 748 – 754. DOI : 10.1109/TC.2017.2778728.

A modular low-complexity ECG delineation algorithm for real-time embedded systems

J. M. Bote; J. Recas; F. J. Rincon Vallejos; D. Atienza Alonso; R. Hermida 

Journal of Biomedical Informatics. 2018. Vol. 22, num. 2, p. 429 – 441. DOI : 10.1109/JBHI.2017.2671443.

Fast and Energy-Efficient CNFET Adders With CDM and Sensitivity-Based Device-Circuit Co-Optimization

K. Haghshenas; M. Hashemi; T. Nikoubin 

IEEE TRANSACTIONS ON NANOTECHNOLOGY. 2018. Vol. 17, num. 4, p. 783 – 794. DOI : 10.1109/TNANO.2018.2834511.

Integrating Heuristic and Machine-Learning Methods for Efficient Virtual Machine Allocation in Data Centers

A. Pahlevan; X. Qu; M. Zapater; D. Atienza 

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS. 2018. Vol. 37, num. 8, p. 1667 – 1680. DOI : 10.1109/TCAD.2017.2760517.

Stability-Aware Integrated Routing and Scheduling for Control Applications in Ethernet Networks

R. Mahfuzi; A. Aminifar; S. Samii; A. Rezine; P. Eles et al. 

2018. Design, Automation and Test in Europe (DATE). p. 682 – 687. DOI : 10.23919/DATE.2018.8342096.

Fast Energy Estimation Through Partial Execution of HPC Applications

J. Carlos Salinas-Hilburg; M. Zapater; J. M. Moya; J. L. Ayala 

2018. 29th Annual IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP), Milan, ITALY, Jul 10-12, 2018. p. 260 – 267. DOI : 10.1109/ASAP.2018.8445089.

Control-Quality Driven Design of Embedded Control Systems with Stability Guarantees

A. Aminifar; P. Eles; Z. Peng; A. Cervin; K-E. Årzén 

IEEE Design & Test. 2018. Vol. 35, num. 4, p. 38 – 46. DOI : 10.1109/MDAT.2017.2766564.

Heterogeneous and Inexact: Maximizing Power Efficiency of Edge Computing Sensors for Health Monitoring Applications

S. S. Basu; L. G. Duch; M. Peon Quiros; G. Ansaloni; L. Pozzi et al. 

2018. The International Symposium on Circuits and Systems (ISCAS), Florence, Italy, May 27-30, 2018. DOI : 10.1109/ISCAS.2018.8351595.

Hardware / Software Architectural and Technological Exploration for Energy-Efficient and Reliable Biomedical Devices

L. G. Duch / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2018. 

Online Efficient Bio-Medical Video Transcoding on MPSoCs Through Content-Aware Workload Allocation

A. Iranfar; A. Pahlevan; M. Zapater Sancho; M. Žager; M. Kovač et al. 

2018. Design, Automation, and Test in Europe Conference (DATE), Dresden, Germany, March 19-23, 2018. p. 949 – 954. DOI : 10.23919/DATE.2018.8342146.

Physiological Characterization of Need for Assistance in Rescue Missions with Drones

F. I. T. Dell’Agnola; L. Cammoun; D. Atienza Alonso 

2018. 2018 IEEE International Conference on Consumer Electronics (ICCE), Nevada, Las Vegas, USA, January 12-14, 2018. DOI : 10.1109/ICCE.2018.8326348.

e-Glass: A Wearable System for Real-Time Detection of Epileptic Seizures

D. Sopic; A. Aminifar; D. Atienza Alonso 

2018. The International Symposium on Circuits and Systems (ISCAS), Florence, Italy, May 27-30, 2018. DOI : 10.1109/ISCAS.2018.8351728.

Real-Time Event-Driven Classification Technique for Early Detection and Prevention of Myocardial Infarction on Wearable Systems

D. Sopic; A. Aminifar; A. Aminifar; D. Atienza Alonso 

IEEE Transactions on Biomedical Circuits and Systems. 2018. Vol. 12, num. 5, p. 982 – 992. DOI : 10.1109/TBCAS.2018.2848477.

Reliable Power and Time-Constraints-Aware Predictive Management of Heterogeneous Exascale Systems

W. Fornaciari; G. Agosta; D. Atienza; C. Brandolese; L. Cammoun et al. 

2018. 18th International Conference on Embedded Computer Systems – Architectures, Modeling, and Simulation (SAMOS), Pythagorion, GREECE, Jul 15-19, 2018. p. 187 – 194. DOI : 10.1145/3229631.3239368.

Machine Learning-Based Quality-Aware Power and Thermal Management of Multistream HEVC Encoding on Multicore Servers

A. Iranfar; M. Zapater Sancho; D. Atienza Alonso 

IEEE Transactions on Parallel and Distributed Systems. 2018. Vol. 29, num. 10, p. 2268 – 2281. DOI : 10.1109/TPDS.2018.2827381.

Self-Aware Wearable Systems in Epileptic Seizure Detection

F. Forooghifar; A. Aminifar; D. Atienza Alonso 

2018. Euromicro Conference on Digital System Design (DSD), Prague, Czech Republic, August 29-31, 2018. p. 426 – 432. DOI : 10.1109/DSD.2018.00078.

Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays

A. Andreev; F. Kaplan; M. Zapater Sancho; A. K. Coskun; D. Atienza Alonso 

2018. ACM/IEEE International Symposium on Low Power Electronics and Design, Bellevue, Washington, USA, July 23-25, 2018. DOI : 10.1145/3218603.3218606.

A Machine Learning-Based Strategy for Efficient Resource Management of Video Encoding on Heterogeneous MPSoCs

A. Iranfar; W. A. Simon; M. Zapater Sancho; D. Atienza Alonso 

2018. International Symposium on Circuits and Systems (ISCAS), Florence, Italy, May 27-30, 2018. DOI : 10.1109/ISCAS.2018.8351785.

FlyJacket: An Upper Body Soft Exoskeleton for Immersive Drone Control

C. Rognon; S. Mintchev; F. I. T. Dell’Agnola; A. T. Cherpillod; D. Atienza Alonso et al. 

IEEE Robotics and Automation Letters. 2018. Vol. 3, num. 3, p. 2362 – 2369. DOI : 10.1109/LRA.2018.2810955.

Design of a Two-Phase Gravity-Driven Micro-Scale Thermosyphon Cooling System for High-Performance Computing Data Centers

A. Seuret; A. Iranfar; M. Zapater Sancho; J. R. Thome; D. Atienza Alonso 

2018. The Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITHERM), Marina San Diego, CA, USA, May 29 – June 1, 2018. p. 587 – 595. DOI : 10.1109/ITHERM.2018.8419531.

Jacket for embodied interaction with virtual or distal robotic device

D. Floreano; C. Rognon; S. Mintchev; A. Concordel; D. Atienza Alonso et al. 

US10860014; US2019258239; EP3509801; WO2018047102.

2018.

Energy Proportionality in Near-Threshold Computing Servers and Cloud Data Centers: Consolidating or Not?

A. Pahlevan; Y. M. Qureshi; M. Zapater Sancho; A. Bartolini; D. Rossi et al. 

2018. Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, March 19-23. p. 147 – 152. DOI : 10.23919/DATE.2018.8341994.

Thermal Characterization of Next-Generation Workloads on Heterogeneous MPSoCs

A. Iranfar; F. Terraneo; W. A. Simon; L. Dragic; I. Pilji et al. 

2017. International Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation, SAMOS Island, Greece, July 17-20. 2017. p. 286 – 291. DOI : 10.1109/SAMOS.2017.8344642.

HEAL-WEAR: an Ultra-Low Power Heterogeneous System for Bio-Signal Analysis

L. G. Duch; S. S. Basu; R. Braojos Lopez; G. Ansaloni; L. Pozzi et al. 

IEEE Transactions on Circuits and Systems I: Regular Papers. 2017. Vol. 64, num. 9, p. 2448 – 2461. DOI : 10.1109/Tcsi.2017.2701499.

A Patient-Specific Methodology for Prediction of Paroxysmal Atrial Fibrillation Onset

E. De Giovanni; A. Aminifar; A. Luca; S. Yazdani; J-M. Vesin et al. 

2017. Computing in Cardiology, Rennes, France, September 24-27, 2017. DOI : 10.22489/CinC.2017.285-191.

Anomalies in Scheduling Control Applications and Design Complexity

A. Aminifar; E. Bini 

2017. DATE 2017, Lausanne, 27-31.03.2017. p. 1607 – 1610. DOI : 10.23919/DATE.2017.7927247.

Report on DATE 2017 in Lausanne

D. Atienza; G. Di Natale 

IEEE Design & Test. 2017. Vol. 34, num. 4, p. 76 – 77. DOI : 10.1109/Mdat.2017.2693266.

An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery

S. S. Basu; L. G. Duch; R. Braojos Lopez; G. Ansaloni; L. Pozzi et al. 

2017. CODES+ISSS: International Conference on Hardware/Software Codesign and System Synthesis, Seoul, South Korea, October 15-20, 2017.

PowerCool: Simulation of Cooling and Powering of 3D MPSoCs with Integrated Flow Cell Arrays

A. A. Andreev; A. Sridhar; M. M. Sabry Aly; M. Zapater; P. Ruch et al. 

IEEE Transactions on Computers. 2017.  p. 1 – 1. DOI : 10.1109/TC.2017.2695179.

An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery

S. Basu; L. Duch; R. Braojos; G. Ansaloni; L. Pozzi et al. 

ACM Transactions on Embedded Computing Systems. 2017. Vol. 16, num. 5s, p. 159. DOI : 10.1145/3126565.

Work-in-Progress: A Machine Learning-Based Approach for Power and Thermal Management of Next-Generation Video Coding on MPSoCs

A. Iranfar; M. Zapater Sancho; D. Atienza Alonso 

2017. CODES/ISSS ’17, Seoul, Republic of Korea, October 15-20, 2017. DOI : 10.1145/3125502.3125533.

Real-time classification technique for early detection and prevention of myocardial infarction on wearable devices

D. Sopic; A. Aminifar; D. Atienza Alonso 

2017. 13th IEEE Biomedical Circuits and Systems Conference (BioCAS), Turin, Italy, October 19-21, 2017. p. 1 – 4. DOI : 10.1109/BIOCAS.2017.8325140.

Classification of Resilience Techniques Against Functional Errors at Higher Abstraction Layers of Digital Systems

G. Psychou; D. Rodopoulos; M. M. Sabry; T. Gemmeke; D. Atienza et al. 

ACM Computing Surveys. 2017. Vol. 50, num. 4, p. 50. DOI : 10.1145/3092699.

MANGO: exploring Manycore Architectures for Next-GeneratiOn HPC systems

J. Flich; G. Agosta; P. Ampletzer; D. Atienza Alonso; C. Brandolese et al. 

2017. Euromicro Conference on Digital System Design, Vienna, Austria, Aug 30 – Sep 1, 2017. DOI : 10.1109/DSD.2017.51.

Joint Computing and Electric Systems Optimization for Green Datacenters

A. Pahlevan; M. Rossi; P. Garcia del Valle; D. Brunelli; D. Atienza Alonso 

Handbook of Hardware/Software Codesign; Springer, 2017. p. 1 – 21.

TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip

A. Iranfar; M. Kamal; A. Afzali-Kusha; M. Pedram; D. Atienza Alonso 

Transaction on Computer-Aided Design of Integrated Circuits and Systems. 2017. Vol. 37, num. 8, p. 1532 – 1545. DOI : 10.1109/TCAD.2017.2768417.

A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing

R. Braojos Lopez; D. Bortolotti; A. Bartolini; G. Ansaloni; L. Benini et al. 

IEEE Transactions on Computers. 2017. Vol. 66, num. 4, p. 575 – 585. DOI : 10.1109/TC.2016.2610426.

Hierarchical Cardiac-Rhythm Classification Based on Electrocardiogram Morphology

D. Sopic; E. De Giovanni; A. Aminifar; D. Atienza Alonso 

2017. Computing in Cardiology (CinC), Rennes, France, September 24-27, 2017. DOI : 10.22489/CinC.2017.343-119.

Method and Device for Non-Invasive Blood Pressure Measurement

A. Dias Júnior; S. Murali; F. J. Rincon Vallejos; D. Atienza Alonso 

EP3133985; US10357164; EP3133985; US2017042434; WO2015162566.

2017.

Towards Near-Threshold Server Processors

A. Pahlevan; J. Picorel Obando; A. Pourhabibi Zarandi; D. Rossi; M. Zapater Sancho et al. 

2016. Design, Automation and Test in Europe Conference (DATE ’16), Dresden, Germany, March 14-18, 2016. p. 7 – 12.

Big-Data Streaming Applications Scheduling Based on Staged Multi-Armed Bandits

K. Kanoun; C. Tekin; D. Atienza; M. Van Der Schaar 

IEEE Transactions on Computers. 2016. Vol. 65, num. 12, p. 3591 – 3605. DOI : 10.1109/Tc.2016.2550454.

Methods for reliable estimation of pulse transit time and blood pressure variations using smartphone sensors

A. D. Junior; S. Murali; F. J. Rincon Vallejos; D. Atienza Alonso 

Elsevier Microprocessors and Microsystems. 2016. Vol. 1, num. 1, p. 1 – 12. DOI : 10.1016/j.micpro.2016.06.001.

Hardware/Software Co-Design of Ultra-Low Power Biomedical Monitors

R. Braojos Lopez / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2016. 

Touch-Based System for Beat-to-Beat Impedance Cardiogram Acquisition and Hemodynamic Parameters Estimation

D. Sopic; S. Murali; F. J. Rincon Vallejos; D. Atienza Alonso 

2016. IEEE/ACM Design Automation and Test in Europe Conference (DATE’16), Dresden, Germany, March 25-27, 2015. p. 150 – 155.

Parallelizing the Chambolle Algorithm for Performance Optimized Mapping on FPGA devices

I. Beretta; V. Rana; A. Akin; A. Nacci; D. Sciuto et al. 

ACM Transactions on Embedded Computing Systems. 2016. Vol. 15, num. 3, p. 1 – 27. DOI : 10.1145/2851497.

Inexact-Aware Architecture Design for Ultra-Low Power Bio-Signal Analysis

S. S. Basu; P. Garcia del Valle; G. Karakonstantis; G. Ansaloni; L. Pozzi et al. 

IET Computers & Digital Techniques. 2016. Vol. 10, num. 6, p. 306 – 314. DOI : 10.1049/iet-cdt.2015.0194.

Big-Data Streaming Applications Scheduling Based on Staged Multi-armed Bandits

K. Kanoun; C. Tekin; D. Atienza Alonso; M. Van Der Schaar 

IEEE Transactions on Computers. 2016. Vol. 65, num. 12, p. 3591 – 3605. DOI : 10.1109/TC.2016.2550454/TC.2016.2550454.

An Ultra-Low Power NVM-Based Multi-Core Architecture for Embedded Bio Signal Processing

R. Braojos Lopez; D. Atienza 

2016. ICT-Energy conference 2016, Aalborg, Denmark, 16-19.08.2016.

Ultra-Low Power Estimation of Heart Rate Under Physical Activity Using a Wearable Photoplethysmographic System

E. De Giovanni; D. Atienza Alonso; S. Murali; F. J. Rincon Vallejos 

2016. 19th IEEE/Euromicro Conference On Digital System Design (DSD 2016), Limassol, Cyprus, August 31 – September 2, 2016. p. 1 – 10. DOI : 10.1109/Dsd.2016.101.

Exploiting CPU-Load and Data Correlations in Multi-Objective VM Placement for Geo-Distributed Data Centers

A. Pahlevan; P. Garcia Del Valle; D. Atienza Alonso 

2016. 2016 Design, Automation and Test in Europe Conference (DATE ‘16), Dresden, Germany, March 14-18, 2016. p. 1333 – 1338.

A Multi-Core Reconfigurable Architecture for Ultra-Low Power Bio-Signal Analysis

L. G. Duch; S. S. Basu; R. Braojos Lopez; G. Ansaloni; L. Pozzi et al. 

2016. Biomedical Circuits and Systems (BioCAS), Shanghai, China, October 17-19, 2016. p. 416 – 419. DOI : 10.1109/BioCAS.2016.7833820.

Microarchitectural Low-Power Design Techniques for Embedded Microprocessors

J. H-F. Constantin / A. P. Burg; D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2016. 

Energy vs. Reliability Trade-offs Exploration in Biomedical Ultra-Low Power Devices

L. G. Duch; P. Garcia del Valle; S. Ganapathy; A. P. Burg; D. Atienza Alonso 

2016. Design, Automation and Test in Europe Conference (DATE ’16), Dresden, Germany, March 14-18, 2016. p. 838 – 841.

Low-Power Wearable System for Real-Time Screening of Obstructive Sleep Apnea

G. Surrel; F. J. Rincon Vallejos; S. Murali; D. Atienza Alonso 

2016. IEEE Computer Society Annual Symposium on VLSI, Pittsburgh, Pennsylvania, U.S.A., July 11-13, 2016. p. 230 – 235. DOI : 10.1109/Isvlsi.2016.51.

Efficient Hardware Design Of Iterative Stencil Loops

V. Rana; I. Beretta; F. Bruschi; A. Nacci; D. Atienza Alonso et al. 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016. Vol. 35, num. 8, p. 1 – 15. DOI : 10.1109/TCAD.2016.2545408.

Enabling HPC for QoS-sensitive applications: the MANGO approach

J. Flich; G. Agosta; P. Ampletzer; D. A. Alonso; C. Brandolese et al. 

2016. Design, Automation and Test in Europe Conference and Exhibition (DATE), Dresden, GERMANY, MAR 14-18, 2016. p. 702 – 707.

Nano-Engineered Architectures for Ultra-Low Power Wireless Body Sensor Nodes

R. Braojos Lopez; T. F. Wu; G. Ansaloni; M. M. Sabry Aly; D. Atienza et al. 

2016. CODES-ISSS 2016, Pittsburgh, USA, 01-06.10.2016. DOI : 10.1145/2968456.2968464.

ICCAD 2015 Contest in 3D Interlayer Cooling Optimized Network

A. Sridhar; M. M. Sabry; D. Atienza Alonso 

2015. 2015 ACM/IEEE International Conference on Computer Aided Design (ICCAD ’15), Austin, Texas, USA, November 2-6, 2015. p. 34 – 39. DOI : 10.1109/ICCAD.2015.7372669.

Heterogeneous Error-Resilient Scheme for Spectral Analysis in Ultra-Low Power Wearable Electrocardiogram Devices

S. S. Basu; P. Garcia del Valle; G. Ansaloni; G. Karakonstantis; D. Atienza Alonso 

2015. IEEE Annual Symposium on VLSI 2015 (ISVLSI 2015), Montpellier, France, July 8-10, 2015. p. 173 – 180. DOI : 10.1109/ISVLSI.2015.46.

Real-Time Probabilistic Heart Beat Classification and Correction for Embedded Systems

G. Surrel; F. J. Rincon Vallejos; S. Murali; D. Atienza Alonso 

2015. Computing in Cardiology 2015, Nice, France, September 06-09, 2015. p. 161 – 164. DOI : 10.1109/CIC.2015.7408611.

Estimation of Blood Pressure and Pulse Transit Time Using Your Smartphone

A. D. Junior; S. Murali; F. J. Rincon Vallejos; D. Atienza Alonso 

2015. 18th IEEE/Euromicro Conference On Digital System Design (DSD 2015), Funchal, Madeira, Portugal, August 26-28, 2015. p. 173 – 180. DOI : 10.1109/DSD.2015.90.

Energy-Aware Embedded Classifier Design for Real-Time Emotion Analysis

M. Padmanabhan; F. J. Rincon Vallejos; S. Murali; D. Atienza Alonso 

2015. 37th IEEE Annual International Conference of the Engineering in Medicine and Biology Society (EMBC 2015), Milan, Italy, August 25-29, 2015. p. 10 – 13. DOI : 10.1109/EMBC.2015.7318846.

Ultra-low-power ECG front-end design based on compressed sensing

H. Mamaghanian; P. Vandergheynst 

2015. 2015 Design, Automation and Test in Europe Conference (DATE ‘15), Grenoble, France, March 9-13, 2015. p. 671 – 676. DOI : 10.7873/DATE.2015.1098.

Energy-Efficient Co-Design Optimization of Many-Core Platforms for Big-Data Streaming Applications

K. Kanoun / D. Atienza Alonso; C. Piguet (Dir.)  

Lausanne, EPFL, 2015. 

A Wearable Device For Physical and Emotional Health Monitoring

S. Murali; F. J. Rincon Vallejos; D. Atienza Alonso 

2015. Computing in Cardiology 2015, Nice, France, September 06-09, 2015. p. 121 – 124. DOI : 10.1109/CIC.2015.7408601.

Method for detecting abnormalities in an electrocardiogram

R. Braojos Lopez; G. Ansaloni; D. Atienza Alonso; F. J. Rincon Vallejos; S. Murali 

US9468386; US2015257668.

2015.

Design of Ultra-Low-Power Smart Wearable Systems

G. Surrel; F. J. Rincon Vallejos; S. Murali; D. Atienza Alonso 

2015. IEEE 16th Latin-American Test Symposium 2015 (LATS), Puerto Vallarta, Mexico, March 25-27, 2015. p. 1 – 2. DOI : 10.1109/LATW.2015.7102527.

Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations

D. Rodopoulos; G. Psychou; M. Sabry; F. Catthoor; A. Papanikolau et al. 

ACM Computing Surveys (CSUR). 2015. Vol. 47, num. 3, p. 38:1 – 38:33. DOI : 10.1145/2678276.

Method and apparatus for low complexity spectral analysis of bio-signals

G. Karakonstantis; A. Sankaranarayanan; A. Burg; S. Murali; D. Atienza Alonso 

US9760536; US2015220486; EP2884884; WO2014027329.

2015.

Near-optimal thermal monitoring framework for many-core systems on chip

J. Ranieri; A. Vincenzi; A. Chebira; D. Atienza Alonso; M. Vetterli 

IEEE Transactions on Computers. 2015. Vol. 64, num. 11, p. 3197 – 3209. DOI : 10.1109/Tc.2015.2395423.

GPU Acceleration for Simulating Massively Parallel Many-Core Platforms

S. Raghav; M. Ruggiero; A. Marongiu; C. Pinto; D. Atienza et al. 

Ieee Transactions On Parallel And Distributed Systems. 2015. Vol. 26, num. 5, p. 1336 – 1349. DOI : 10.1109/Tpds.2014.2319092.

Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters

J. Kim; M. S. A-A. Mohamed; M. Ruggiero; D. Atienza Alonso 

Handbook on Data Centers; New York: Springer Science+Business Media, 2015. p. 857 – 913.

Ultra-Low Power Design of Wearable Cardiac Monitoring Systems

R. Braojos Lopez; H. Mamaghanian; R. Vallejos; F. Javier; A. Dias Junior et al. 

2014. IEEE/ACM 2014 Design Automation Conference (DAC), San Francisco, CA, USA, June 1-5, 2014. p. 140 – 145. DOI : 10.1145/2593069.2596691.

Online Energy-Efficient Task-Graph Scheduling for Multicore Platforms

K. Kanoun; N. Mastronade; D. Atienza Alonso; M. Van der Schaar 

IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2014. Vol. 33, num. 8, p. 1194 – 1207. DOI : 10.1109/TCAD.2014.2316094.

Early Classification of Pathological Heartbeats on Wireless Body Sensor Nodes

R. Braojos; I. Beretta; G. Ansaloni; D. Atienza Alonso 

Sensors. 2014. Vol. 14, num. 12, p. 22532 – 22551. DOI : 10.3390/s141222532.

Temperature-Aware Design and Management for 3D Multi-Core Architectures

M. M. S. Aly; D. Atienza Alonso 

Foundations and Trends in Electronic Design Automation. 2014. Vol. 8, num. 2, p. 117 – 197. DOI : 10.1561/1000000032.

Hardware-Software Inexactness in Noise-aware Design of Low-Power Body Sensor Nodes

H. Mamaghanian; G. Ansaloni; M. M. S. Aly; D. Atienza Alonso; P. Vandergheynst 

2014. Designing with Uncertainty – Opportunities & Challenges, York, United Kingdom, March 17-19 , 2014.

Power-Efficient Joint Compressed Sensing of Multi-Lead ECG Signals

H. Mamaghanian; G. Ansaloni; D. Atienza Alonso; P. Vandergheynst 

2014. 39th International Conference on Acoustics, Speech and Signal Processing (ICASSP 2014), Florence, Italy, May 5-9 2014. p. 4409 – 4412. DOI : 10.1109/ICASSP.2014.6854435.

Ultra low power design of hardware efficient CS-Based compression scheme in WBSN

H. Mamaghanian; D. Atienza Alonso; P. Vandergheynst 

2014. CT-Energy Community Workshop, Barcelona, Spain, April 23-25, 2014.

A Unified Online Directed Acyclic Graph Flow Manager for Multicore Schedulers

K. Kanoun; D. Atienza; N. Mastronarde; M. Van Der Schaar 

2014. 19th Asia and South Pacific Design Automation Conference (ASP-DAC). p. 714 – 719. DOI : 10.1109/ASPDAC.2014.6742974.

A Semi-Analytical Thermal Modeling Framework for Liquid-Cooled ICs

A. Sridhar; M. M. S. Aly; D. Atienza Alonso 

IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2014. Vol. 33, num. 8, p. 1145 – 1158. DOI : 10.1109/TCAD.2014.2323194.

Design Methodologies for Application-Oriented Embedded Systems Under Variable Performance/Constraints Tradeoffs

I. Beretta / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2014. 

Ultra-Low Power Multicore Architecture For Parallel Biomedical Signal Processing

A. Y. Dogan; J. Constantin; A. Burg; D. Atienza Alonso 

WO2013136259; WO2013136259.

2014.

Approximate Compressed Sensing: Ultra-Low Power Biosignal Processing via Aggressive Voltage Scaling on a Hybrid Memory Multi-core Processor

D. Bortolotti; H. Mamaghanian; A. Bartolini; M. Ashouei; J. Stuijt et al. 

2014. International Symposium on Low Power Electronics and Design (ISLPED 2014), La Jolla, California, USA, August 11-13, 2014. p. 40 – 45. DOI : 10.1145/2627369.2627629.

Global Fan Speed Control Considering Non-Ideal Temperature Measurements in Enterprise Servers

J. Kim; M. M. S. Aly; D. Atienza Alonso; K. Vaidyanathan; K. Gross 

2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 210 – 215. DOI : 10.7873/DATE.2014.289.

Compressed sensing : a universal energy-efficient compression scheme for biosignals on wireless body sensor nodes

H. Mamaghanian / D. Atienza Alonso; P. Vandergheynst (Dir.)  

Lausanne, EPFL, 2014. 

Integrated Microfluidic Power Generation and Cooling for Bright Silicon MPSoCs

M. M. S. Aly; A. Sridhar; D. Atienza Alonso; P. Ruch; B. Michel 

2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 70 – 75. DOI : 10.7873/DATE.2014.147.

Low Power and Scalable Many-Core Architecture for Big-Data Stream Computing

K. Kanoun; M. Ruggiero; D. Atienza Alonso; M. Van Der Schaar 

2014. IEEE Annual Symposium on VLSI 2014 (ISVLSI), Tampa, Florida, USA, July 9-11, 2014. p. 468 – 473. DOI : 10.1109/ISVLSI.2014.77.

Ultra-Low Power Design of Wearable Cardiac Monitoring Systems

R. Braojos; H. Mamaghanian; A. Dias Junior; G. Ansaloni; D. Atienza et al. 

2014. 51st ACM/EDAC/IEEE Design Automation Conference (DAC). DOI : 10.1145/2593069.2596691.

Risk Assessment of Atrial Fibrillation: a Failure Prediction Approach

J. Milosevic; A. Dittrich; A. Ferrante; M. Malek; D. C. Rojas Quirós et al. 

Computers in Cardiology. 2014. Vol. 41, num. 1, p. 170 – 173.

Scalable Simulation Methodologies for Many-Core Heterogeneous Systems

S. Raghav / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2014. 

Resolving the Memory Bottleneck for Single Supply Near-Threshold Computing

T. Gemmeke; M. M. S. Aly; J. Stuijt; P. Raghavan; F. Catthoor et al. 

2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 120 – 125. DOI : 10.7873/DATE.2014.215.

Hardware/Software Approach for Code Synchronization in Low-Power Multi-Core Sensor Nodes

R. Braojos Lopez; I. Beretta; G. Ansaloni; D. Atienza Alonso 

2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 50 – 55. DOI : 10.7873/DATE.2014.181.

PowerCool: Simulation of Integrated Microfluidic Power Generation in Bright Silicon MPSoCs

A. Sridhar; M. M. Sabry; P. Ruch; D. Atienza Alonso; B. Michel 

2014. IEEE/ACM 2014 International Conference on Computer Aided-Design (ICCAD), San Jose, CA, USA, November 2-6, 2014. p. 527 – 534. DOI : 10.1109/ICCAD.2014.7001401.

3D-ICE: a Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs

A. Sridhar; A. Vincenzi; D. Atienza Alonso; T. Brunschwiler 

IEEE Transactions on Computers. 2014. Vol. 63, num. 10, p. 2576 – 2589. DOI : 10.1109/TC.2013.127.

A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms

J. A. Clemente; I. Beretta; V. Rana; D. Atienza Alonso; D. Sciuto 

ACM Transactions on Reconfigurable Technology and Systems (TRETS). 2014. Vol. 7, num. 2, p. 1 – 27. DOI : 10.1145/2611562.

A semi-analytical approach for optimized design of microchannel liquid-cooled ICs

A. Sridhar; M. M. Sabry; D. Atienza Alonso 

2014. IEEE 2014 Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Orlando, Florida, USA, May 27-30, 2014. p. 296 – 302. DOI : 10.1109/ITHERM.2014.6892296.

OCEAN: An Optimized HW/SW Reliability Mitigation Approach for Scratchpad Memories in Real-Time SoCs

M. M. Sabry; D. Atienza Alonso; F. Catthoor 

ACM Transactions on Embedded Computing Systems. 2014. Vol. 13, p. 138.1 – 138.26. DOI : 10.1145/2584667.

A Wireless Body Sensor Network For Activity Monitoring With Low Transmission Overhead

R. Braojos Lopez; I. Beretta; J. H-F. Constantin; A. P. Burg; D. Atienza Alonso 

2014. The 12th IEEE International Conference on Embedded and Ubiquitous Computing, Milan, 25-29.08.2014. p. 265 – 272. DOI : 10.1109/EUC.2014.46.

A Quality-Scalable and Energy-Efficient Approach for Spectral Analysis of Heart Rate Variability

G. Karakonstantis; A. Sankaranarayanan; M. M. S. Aly; D. Atienza Alonso; A. P. Burg 

2014. Design Automation & Test in Europe (DATE), Dresden, Germany, DOI : 10.7873/DATE.2014.184.

A Unified Online Directed Acyclic Graph Flow Manager for Multicore Schedulers

K. Kanoun; D. Atienza Alonso; N. Mastronarde; M. Van der Schaar 

2014. 19th Asia and South Pacific Design Automation Conference ASP-DAC 2014, Singapore, Singapore, January 20-23, 2014. p. 714 – 719. DOI : 10.1109/ASPDAC.2014.6742974.

SIMinG-1k: A thousand-core simulator running on general-purpose graphical processing units

S. Raghav; A. Marongiu; C. Pinto; M. Ruggiero; D. Atienza et al. 

Concurrency And Computation – Practice and Experience. 2013. Vol. 25, num. 10, p. 1443 – 1461. DOI : 10.1002/cpe.2940.

STEAM: a fast compact thermal model for two-phase cooling of integrated circuits

A. Sridhar; Y. Madhour; D. Atienza; T. Brunschwiler; J. Thome 

2013. 32nd IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose. California, USA, November 18-21, 2003. p. 256 – 263. DOI : 10.1109/ICCAD.2013.6691127.

GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation

M. M. Sabry; A. Sridhar; J. Meng; A. K. Coskun; D. Atienza 

Ieee Transactions On Computer-Aided Design Of Integrated Circuits And Systems. 2013. Vol. 32, num. 4, p. 524 – 537. DOI : 10.1109/Tcad.2012.2226032.

Thermal Simulation of Liquid-Cooled Integrated Circuits

A. R. Mahankali Sridhar / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2013. 

Markov Decision Process Based Energy-Efficient On-Line Scheduling for Slice Parallel Video Decoders on Multicore Systems

N. Mastronarde; K. Kanoun; D. Atienza Alonso; P. Frossard; M. van der Schaar 

IEEE Transactions on Multimedia. 2013. Vol. 15, num. 2, p. 268 – 278. DOI : 10.1109/TMM.2012.2231668.

Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms

V. Rana; A. A. Nacci; I. Beretta; M. Santambrogio; D. Atienza Alonso et al. 

IEEE Design & Test. 2013. Vol. 30, num. 4, p. 71 – 50. DOI : 10.1109/MDT.2012.2223191.

Markov Decision Process Based Energy-Efficient Scheduling For Slice-Parallel Video Decoding

N. Mastronade; K. Kanoun; D. Atienza Alonso; M. Van Der Schaar 

2013. 2013 IEEE International Conference on Multimedia and Expo Workshops (ICMEW 2013), San Jose, California, USA, July 15-19, 2013. p. 1 – 6. DOI : 10.1109/ICMEW.2013.6618393.

A High-Level Synthesis Flow for the Implementation of Iterative Stencil Loop Algorithms on FPGA Devices

A. A. Nacci; V. Rana; I. Beretta; F. Bruschi; D. Atienza Alonso et al. 

2013. IEEE/ACM 50th Design Automation Conference (DAC’13), Austin, Texas, USA, June 2-6, 2013. p. 1 – 6. DOI : 10.1145/2463209.2488797.

A Methodology for Embedded Classification of Heartbeats Using Random Projections

R. Braojos Lopez; G. Ansaloni; D. Atienza Alonso 

2013. DATE2013, Grenoble, France, March 18-22, 2013. p. 899 – 904. DOI : 10.7873/DATE.2013.189.

Effects of Age, Sex and Treatment on Weight Loss Dynamics in Overweight People

M. Á. Rojo-Tirado; P. J. Benito; D. Atienza Alonso; E. Rincon; F. J. Calderon 

Applied Physiology, Nutrition and Metabolism. 2013. Vol. 38, num. 9, p. 967 – 976. DOI : 10.1139/apnm-2012-0441.

Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms

A. Y. Dogan; R. Braojos Lopez; J. H-F. Constantin; G. Ansaloni; A. P. Burg et al. 

2013. The Design, Automation and Test in Europe (DATE), 2013, Grenoble, France, p. 396 – 399. DOI : 10.7873/DATE.2013.090.

An Ultra-Low-Power Application-Specific Processor with Sub-VT Memories for Compressed Sensing

J. H-F. Constantin; A. Dogan; O. Andersson; P. A. Meinerzhagen; J. Rodrigues et al. 

VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design; Springer, 2013. p. 88 – 106.

Energy-Aware Processing Platform Exploration for Embedded Biosignal Analysis

A. Y. Dogan / D. Atienza Alonso; A. P. Burg (Dir.)  

Lausanne, EPFL, 2013. 

Multi-level Optimization Methodologies for Thermally Reliable Multi-Core Architectures

M. M. S. Aly / D. Atienza Alonso (Dir.)  

Lausanne, EPFL, 2013. 

Correlation-Aware Virtual Machine Allocation for Energy-Efficient Datacenters

J. Kim; M. Ruggiero; D. Atienza Alonso; M. Ledergerber 

2013. 2013 IEEE/ACM Design Automation and Test in Europe Conference (DATE 2013), Grenoble, France, March 18-22, 2013. p. 1345 – 1350. DOI : 10.7873/DATE.2013.277.

A Combined Sensor Placement and Convex Optimization Approach for Thermal Management in 3D-MPSoC with Liquid Cooling

F. Zanini; D. Atienza Alonso; G. De Micheli 

Integration, the VLSI Journal. 2013. Vol. 46, num. 1, p. 33 – 43. DOI : 10.1016/j.vlsi.2011.12.003.

A Hybrid HW-SW Approach for Intermittent Error Mitigation in Streaming-Based Embedded Systems

M. M. Sabry; D. Atienza Alonso; F. Catthoor 

2012. IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE), Dresden, Germany, March 12-16, 2012. p. 1110 – 1114. DOI : 10.1109/DATE.2012.6176661.

Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable Arrays

G. Ansaloni; K. Tanimura; L. Pozzi; N. Dutt 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2012. Vol. 31, num. 12, p. 1803 – 1816. DOI : 10.1109/Tcad.2012.2209886.

Scale Invariant Feature Transform on the Sphere: Theory and Applications

J. Cruz; I. Bogdanova; B. Paquier; M. Bierlaire; J-P. Thiran 

International Journal of Computer Vision. 2012. Vol. 98, num. 2, p. 217 – 241. DOI : 10.1007/s11263-011-0505-4.

Online Thermal Control Methods for Multi-Processor Systems

F. Zanini; D. Atienza Alonso; C. Jones; L. Benini; G. De Micheli 

ACM Transactions on Design Automation of Electronic Systems. 2012. Vol. 18, num. 1, p. 6:1 – 6:26. DOI : 10.1145/2390191.2390197.

Design Exploration of Energy-Performance Trade-offs for Wireless Sensor Networks

I. Beretta; F. Rincon; N. Khaled; P. R. Grassi; V. Rana et al. 

2012. IEEE/ACM 2012 Design Automation Conference (DAC), San Francisco, California, USA, June 3-7, 2012. p. 1043 – 1048. DOI : 10.1145/2228360.2228549.

TamaRISC-CS: An Ultra-Low-Power Application-Specific Processor for Compressed Sensing

J. H-F. Constantin; A. Y. Dogan; O. Andersson; P. A. Meinerzhagen; J. N. Rodrigues et al. 

2012. IFIP/IEEE 20th International Conference on Very Large Scale Integration (VLSI-SoC), Santa Cruz, USA, October 7-10, 2012. p. 159 – 164. DOI : 10.1109/VLSI-SoC.2012.7332094.

Memory Power Optimization of Java-Based Embedded Systems Exploiting Garbage Collection Information

J. M. Velasco; D. Atienza Alonso; K. Olcoz 

Journal of Systems Architecture. 2012. Vol. 58, num. 1, p. 61 – 72. DOI : 10.1016/j.sysarc.2011.11.002.

Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs

A. Sridhar; A. Vincenzi; M. Ruggiero; D. Atienza Alonso 

IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2012. Vol. 31, num. 1, p. 23 – 36. DOI : 10.1109/TCAD.2011.2174236.

Design and Exploration of Low-Power Analog to Information Conversion Based on Compressed Sensing

H. Mamaghanian; N. Khaled; D. Atienza Alonso; P. Vandergheynst 

IEEE Journal of Emerging and Selected Topics in Circuits and Systems. 2012. Vol. 2, num. 3, p. 493 – 501. DOI : 10.1109/JETCAS.2012.2220253.

Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems

A. Y. Dogan; J. H-F. Constantin; M. Ruggiero; A. P. Burg; D. Atienza Alonso 

2012. IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE), Dresden, Germany, March 12-16, 2012. p. 988 – 994. DOI : 10.1109/DATE.2012.6176640.

IcyHeart: Highly integrated ultra-low-power SoC solution for unobtrusive and energy efficient wireless cardiac monitoring

M. Milis; K. Michaelides; A. Kounoudes; G. Ansaloni; D. Atienza Alonso et al. 

2012. IEEE 12th International Conference on BioInformatics and BioEngineering (BIBE 2012), Larnaca, Cyprus, November 11-13, 2012. p. 105 – 109. DOI : 10.1109/BIBE.2012.6399716.

Free Cooling-Aware Dynamic Power Management for Green Datacenters

J. Kim; M. Ruggiero; D. Atienza Alonso 

2012. ACM/IEEE 2012 International Conference on High Performance Computing and Simulation (HPCS), Madrid, Spain, July 2-6, 2012. p. 140 – 146. DOI : 10.1109/HPCSim.2012.6266903.

Model-Based Design for Wireless Body Sensor Network Nodes

I. Beretta; F. Rincon; N. Khaled; P. R. Grassi; V. Rana et al. 

2012. 13th IEEE Latin American Test Workshop, Quito, Ecuador, April 10-13, 2012. p. 92 – 97. DOI : 10.1109/LATW.2012.6261244.

Thermal Balancing of Liquid-Cooled 3D-MPSoCs Using Channel Modulation

M. M. Sabry; A. Sridhar; D. Atienza Alonso 

2012. IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE), Dresden, Germany, March 12-16, 2012. p. 599 – 604. DOI : 10.1109/DATE.2012.6176543.

A Multi-Lead Ecg Classification Based On Random Projection Features

I. Bogdanova; F. Rincon; D. Atienza 

2012. IEEE International Conference on Acoustics, Speech and Signal Processing. p. 625 – 628. DOI : 10.1109/ICASSP.2012.6287961.

Fast and Scalable Temperature-driven Floorplan Design in 3D MPSoCs

I. Arnaldo; A. Vincenzi; A. Rodrigo; J. Luis; J. L. Risco et al. 

2012. 13th IEEE Latin American Test Workshop (LATW2012), Quito, Ecuador, April 10-13, 2012. p. 98 – 103. DOI : 10.1109/LATW.2012.6261245.

Wearout-Aware Compiler-Directed Register Assignment for Embedded Systems

F. Ahmed; M. M. Sabry; D. Atienza Alonso; L. Milor 

2012. IEEE 13th International Symposium on Quality Electronic Design (ISQED) 2012, Santa Clara, USA, March 19-21, 2012. p. 33 – 40. DOI : 10.1109/ISQED.2012.6187471.

Full System Simulation of Many-Core Heterogeneous SoCs using GPU and QEMU Semihosting

S. Raghav; C. Pinto; M. Ruggiero; A. Marongiu; D. Atienza Alonso et al. 

2012. Fifth Workshop on General Purpose Processing on Graphics Processing Units , Held with ASPLOS XVII, London, 3.03.2012. p. 101 – 109. DOI : 10.1145/2159430.2159442.

Embedded Real-Time ECG Delineation Methods: a Comparative Evaluation

R. Braojos Lopez; G. Ansaloni; D. Atienza Alonso; R. Vallejos; F. Javier 

2012. IEEE 12th International Conference on BioInformatics and BioEngineering (BIBE 2012), IEEE Press, Larnaca, Cyprus, November 11-13, 2012. p. 99 – 104. DOI : 10.1109/BIBE.2012.6399715.

Automatic online delineation of a multi-lead electrocardiogram bio signal

H. Mamaghanian; V. Rincon; N. Khaled; A. Atienza; P. Vandergheynst 

US2014148714; EP2654557; WO2012085841.

2012.

Low-power processor architecture exploration for online biomedical signal analysis

A. Y. Dogan; J. H-F. Constantin; D. Atienza Alonso; A. P. Burg; L. Benini 

Circuits, Devices & Systems, IET. 2012. Vol. 6, num. 5, p. 279 – 286. DOI : 10.1049/iet-cds.2012.0011.

Design of Energy Efficient and Dependable Health Monitoring Systems under Unreliable Nanometer Technologies

M. M. S. Aly; G. Karakonstantis; D. Atienza Alonso; A. P. Burg 

2012. 7th International Conference on Body Area Networks (BodyNets ’12), Oslo, Norway, September 24-26 2012. p. 52 – 58. DOI : 10.4108/icst.bodynets.2012.249935.

Accelerating Thermal Simulations of 3D ICs with Liquid Cooling using Neural Networks

A. Vincenzi; A. Sridhar; M. Ruggiero; D. Atienza Alonso 

2012. 22nd edition of Great lakes symposium on VLSI (GLSVLSI2012), Salt Lake City, Utah, USA, May. 3-4, 2012. p. 15 – 20. DOI : 10.1145/2206781.2206787.

EigenMaps: Algorithms for Optimal Thermal Maps Extraction and Sensor Placement on Multicore Processors

J. Ranieri; A. Vincenzi; A. Chebira; D. Atienza Alonso; M. Vetterli 

2012. Design Automation Conference DAC, San Francisco, June 3-7, 2012. p. 636 – 641. DOI : 10.1145/2228360.2228475.

Automated Real-Time Atrial Fibrillation Detection on a Wearable Wireless Sensor Platform

F. Rincon; P. R. Grassi; N. Khaled; D. Atienza; D. Sciuto 

2012. 34th IEEE Annual International Conference of the Engineering in Medicine and Biology Society (EMBC 2012), San Diego, USA, August 28-September 1, 2012. p. 2472 – 2475. DOI : 10.1109/EMBC.2012.6346465.

A Multi-Lead ECG Classification Based on Random Projection Features

I. Bogdanova Vandergheynst; R. Vallejos; F. Javier; D. Atienza Alonso 

2012. 37th IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP 2012), Kyoto, Japan, March 25-30, 2012. p. 625 – 628. DOI : 10.1109/ICASSP.2012.6287961.

Knowledge-based design space exploration of wireless sensor networks

P. R. Grassi; I. Beretta; V. Rana; D. Atienza Alonso; D. Sciuto 

2012. IEEE/ACM/IFIP 8th International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS), Tampere, Finland, October 7-12, 2012. p. 225 – 234. DOI : 10.1145/2380445.2380484.

Run-Time Adaptable On-Chip Predictive Thermal Triggers

P. Kumar; D. Atienza Alonso 

2011. 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), Yokohama, Japan, January 25-28, 2011. p. 255 – 260. DOI : 10.1109/ASPDAC.2011.5722194.

Structured Sparsity Models for Compressively Sensed Electrocardiogram Signals: A Comparative Study

H. Mamaghanian; N. Khaled; D. Atienza Alonso; P. Vandergheynst 

2011. Biomedical Circuits and Systems Conference (BioCAS), 2011 IEEE, San Diego, CA, USA, November 10-12, 2011. DOI : 10.1109/BioCAS.2011.6107743.

Hierarchical Thermal Management Policy for High-Performance 3D Systems with Liquid Cooling

F. Zanini; M. M. S. Aly; D. Atienza Alonso; G. De Micheli 

IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS). 2011.  p. 88 – 101. DOI : 10.1109/JETCAS.2011.2158272.

Power/Performance Exploration of Single-core and Multi-core Processor Approaches for Biomedical Signal Processing

A. Y. Dogan; D. Atienza Alonso; A. P. Burg; I. Loi; L. Benini 

2011. Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS ‘11), Madrid, Spain, September 26-29, 2011. p. 102 – 111. DOI : 10.1007/978-3-642-24154-3_11.

GPGPU-Accelerated Parallel and Fast Simulation of Thousand-core Platforms

C. Pinto; S. Raghav; A. Marongiu; M. Ruggiero; D. Atienza Alonso et al. 

2011. CCGRID 2011, Newport Beach, Califorinia, USA, May 23-26, 2011. p. 53 – 62. DOI : 10.1109/CCGrid.2011.64.

System-Level Thermal-Aware Design of 3D Multiprocessors with Inter-Tier Liquid Cooling

A. Sridhar; M. M. Sabry; D. Atienza Alonso 

2011. Therminic 2011, Paris, France, 27-29.09.2011. p. 1 – 9.

Development and Evaluation of Multi-Lead Wavelet-Based ECG Delineation Algorithms for Embedded Wireless Sensor Nodes

F. J. Rincon Vallejos; J. Recas Piorno; N. Khaled; D. Atienza Alonso 

IEEE Transactions on Information Technology in Biomedicine. 2011. Vol. 15, num. 6, p. 854 – 863. DOI : 10.1109/TITB.2011.2163943.

3D Thermal-Aware Floorplanner for Many-Core Single-Chip Systems

D. Cuesta; J. L. Risco; J. L. Ayala Rodrigo; D. Atienza Alonso 

2011. 12th IEEE Latin-American Test Workshop (LATW11), Porto de Galinhas, Brazil, March 27-30, 2011. p. 1 – 6. DOI : 10.1109/LATW.2011.5985921.

A Real-Time Compressed Sensing-Based Personal Electrocardiogram Monitoring System

K. Kanoun; H. Mamaghanian; N. Khaled; D. Atienza Alonso 

2011. IEEE/ACM 2011 Design, Automation and Test in Europe Conference (DATE 2011), Grenoble, France, March 14-18, 2011. p. 824 – 829. DOI : 10.1109/DATE.2011.5763140.

GPGPU Accelerated Parallel and Fast Simulation of Thousand-core Platforms.

S. Raghav; M. Ruggiero; D. Atienza; C. Pinto; A. Marongiu et al. 

Workshop on Micro Power Management for Macro Systems on Chip (uPM2SoC), Held in conjunction with Design, Automation and Test in Europe Conference, Grenoble, France, March 18th, 2011.

A High–Performance Parallel Implementation of the Chambolle Algorithm

A. Akin; I. Beretta; A. A. Nacci; V. Rana; M. D. Santambrogio et al. 

2011. IEEE/ACM 2011 Design, Automation and Test in Europe Conference (DATE 2011), Grenoble, France, March 14-18, 2011. p. 1436 – 1441. DOI : 10.1109/DATE.2011.5763232.

Design Methods and Tools for 3D Integration

G. De Micheli; V. Pavlidis; D. Atienza Alonso; Y. Leblebici 

2011. Symposium on VLSI Technology, Kyoto, Japan, June 14-16, 2011. p. 182 – 183.

Compressed Sensing for Real-Time Energy-Efficient ECG Compression on Wireless Body Sensor Nodes

H. Mamaghanian; N. Khaled; D. Atienza Alonso; P. Vandergheynst 

IEEE Transactions on Biomedical Engineering Bme. 2011. Vol. 58, num. 9, p. 2456 – 2466. DOI : 10.1109/TBME.2011.2156795.

Simulation of High-Performance Memory Allocators

J. L. Risco-Martin; J. M. Colmenar; D. Atienza Alonso; H. Perez; J. Ignacio 

Microprocessors and Microsystems. 2011. Vol. 37, num. 8, p. 755 – 765. DOI : 10.1016/j.micpro.2011.08.003.

A Mapping Flow for Dynamically Reconfigurable Multi-Core System-on-Chip Design

I. Beretta; V. Rana; D. Atienza Alonso; D. Sciuto 

IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2011. Vol. 30, num. 8, p. 1211 – 1224. DOI : 10.1109/TCAD.2011.2138140.

Energy-Efficient Multi-Objective Thermal Control for Liquid-Cooled 3D Stacked Architectures

M. M. S. Aly; A. K. Coskun; D. Atienza Alonso; T. Simunic Rosing; T. Brunschwiler 

IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2011. Vol. 30, num. 12, p. 1883 – 1896. DOI : 10.1109/TCAD.2011.2164540.

Attaining Single-Chip, High-Performance Computing Through 3D Systems with Active Cooling

A. K. Coskun; D. Atienza Alonso; M. M. Sabry Aly; J. Meng 

IEEE Micro. 2011. Vol. 31, num. 4, p. 63 – 73. DOI : 10.1109/MM.2011.39.

Convex-Based Thermal Management for 3D MPSoCs Using DVFS and Variable-Flow Liquid Cooling

F. Zanini; D. Atienza Alonso; G. De Micheli 

2011. PATMOS’11, 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation, Madrid, September 26-29, 2011. p. 341 – 350. DOI : 10.1007/978-3-642-24154-3_34.

Fast Thermal Simulation of 2D/3D Integrated Circuits Exploiting Neural Networks and GPUs

A. Vincenzi; A. Sridhar; M. Ruggiero; D. Atienza Alonso 

2011. International Symposium on Low Power Electronics and Design (ISLPED 2011), Fukuoka, Japan, August 1-3, 2011. p. 151 – 156. DOI : 10.1109/ISLPED.2011.5993628.

Real-Time Compressed Sensing-Based Electrocardiogram Compression on Energy Constrained Wireless Body Sensors

H. Mamaghanian; N. Khaled; D. Atienza Alonso; P. Vandergheynst 

2011. International Symposium on Circuits and Systems (ISCAS’11), Rio de Janeiro, Brazil, May 15 – 18, 2011. p. 1744 – 1747. DOI : 10.1109/ISCAS.2011.5937920.

Thermal-Aware System-Level Modeling and Management for Multi-Processor Systems-on-Chip

F. Zanini; D. Atienza Alonso; L. Benini; G. De Micheli 

2011. IEEE International Symposium on Circuits and Systems (ISCAS), Rio de Janeiro, Brazil, May 15-18, 2011. p. 2481 – 2484. DOI : 10.1109/ISCAS.2011.5938107.

Thermal Analysis and Active Cooling Management for 3D MPSoCs

M. Sabry; D. Atienza Alonso; A. K. Coskun 

2011. International Symposium on Circuits and Systems (ISCAS’11), Rio de Janeiro, Brazil, May 15 – 18, 2011. p. 2237 – 2240. DOI : 10.1109/ISCAS.2011.5938046.

A Hybrid Mapping-Scheduling Technique for Dynamically Reconfigurable Hardware

C. Barreira; J. Antonio; I. Beretta; V. Rana; D. Atienza Alonso et al. 

2011. 21st International Conference on Field Programmable Logic and Applications (FPL 2011), Chania, Greece, September 5-7, 2011. p. 177 – 180. DOI : 10.1109/FPL.2011.40.

Towards Thermally-Aware Design of 3D MPSoCs with Inter-Tier Cooling

M. Sabry; A. Sridhar; D. Atienza Alonso; Y. Temiz; Y. Leblebici et al. 

2011. Design, Automation and Test in Europe (DATE), Grenoble, France, March 14 – 18, 2011. p. 1466 – 1471. DOI : 10.1109/DATE.2011.5763237.

Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures

A. K. Coskun; A. Rodrigo; J. Luis; D. Atienza Alonso; T. S. Rosting 

VLSI-SoC: Technologies for Systems Integration; Florianopolis, Brazil: Jürgen Becker, Marcelo Johann, Ricardo Reis, 2011. p. 34 – 55.

Island-Based Adaptable Embedded System Design

I. Beretta; V. Rana; D. Atienza Alonso; D. Sciuto 

IEEE Embedded Systems Letters. 2011. Vol. 3, num. 2, p. 53 – 57. DOI : 10.1109/LES.2011.2115991.

Scalable instruction set simulator for thousand-core architectures running on GPGPUs

S. Raghav; M. Ruggiero; D. Atienza Alonso; C. Pinto; A. Marongio et al. 

2010. IEEE 2010 International Conference on High Performance Computing and Simulation (HPCS 2010), Caen, France, June 28-July 2, 2010. p. 459 – 466. DOI : 10.1109/HPCS.2010.5547092.

Dynamic Visual Attention on the Sphere

I. Bogdanova; A. Bur; P-A. Farine; H. Hügli 

Computer Vision and Image Understanding. 2010. Vol. 114, p. 100 – 110. DOI : 10.1016/j.cviu.2009.09.003.

Performance and Energy Trade-offs Analysis of L2 on-Chip Cache Architectures for Embedded MPSoCs

M. M. Sabry Aly; M. Ruggiero; P. Garcia del Valle 

2010. 20th symposium on Great lakes symposium on VLSI, Providence, Rhode Island, USA, p. 305 – 310. DOI : 10.1145/1785481.1785552.

Thermal modeling and analysis of 3D multi-processor chips

A. Rodrigo; J. Luis; A. Sridhar; D. Cuesta 

Integration -Amsterdam-. 2010. Vol. 43, num. 7, p. 1 – 15. DOI : 10.1016/j.vlsi.2010.06.002.

Scalable Instruction Set Simulator for Thousand-core Architectures Running on GPGPUs

S. Raghav; M. Ruggiero; D. Atienza; C. Pinto; A. Marongiu et al. 

2010. Workshop on Exploitation of Hardware Accelerators (WEHA 2010), Caen, France, June 28- July 2, 2010. p. 459 – 466. DOI : 10.1109/HPCS.2010.5547092.

Neural Network based On-Chip Thermal Simulator

D. Atienza Alonso; P. Kumar 

2010. IEEE 2010 International Symposium on Circuits and Systems (ISCAS), Paris, France, May 30 – June 2, 2010. p. 1599 – 1602. DOI : 10.1109/ISCAS.2010.5537439.

Emulation-based transient thermal modeling of 2D/3D systems-on-chip with active cooling

P. Garcia del Valle; D. Atienza Alonso 

Microelectronics Journal. 2010. Vol. 42, num. 3, p. 564 – 571. DOI : 10.1016/j.mejo.2010.08.003.

HOLLOWS: A Power-Aware Task Scheduler for Energy Harvesting Sensor Nodes

J. Recas Piorno; C. Bergonzini; D. Atienza Alonso; T. S. Rosing 

Journal of Intelligent Material Systems and Structures. 2010. Vol. 21, num. 12, p. 1317 – 1335. DOI : 10.1177/1045389X10377033.

[2010] Energy Efficiency Using Loop Buffer based Instruction Memory Organizations

A. Artes; F. Duarte; M. Ashouei; J. Huisken; J. L. Ayala et al. 

2010. 2010 International Workshop on Innovative Architecture (IWIA), Kona, HI, USA, 17-19 01 2010. p. 59 – 67. DOI : 10.1109/IWIA.2010.10.

Improving Reliability of Embedded Systems through Dynamic Memory Manager Optimization using Grammatical Evolution

J. M. Colmenar; J. L. Risco-Martin; D. Atienza Alonso; O. Garnica; J. I. Hidalgo et al. 

2010. 12th ACM Annual Conference on Genetic and Evolutionary Computation (GECCO 2010), Portland, Oregon, USA, July 7-11, 2010. p. 1227 – 1234. DOI : 10.1145/1830483.1830705.

Adaptive Task Migration Policies for Thermal control in MPSoCs

D. Cuesta; A. Rodrigo; J. Luis; H. Perez; J. Ignacio et al. 

2010. IEEE 2010 Annual Symposium on VLSI, Lixouri Kefalonia, Greece, July 5-7, 2010. p. 110 – 115. DOI : 10.1109/ISVLSI.2010.39.

Online Convex Optimization-Based Algorithm for Thermal Management of MPSoCs

F. Zanini; D. Atienza Alonso; G. De Micheli; S. P. Boyd 

2010. 20th ACM Great Lakes Symposium on VLSI (GLSVLSI), Providence, Rhode Island, USA, May 16-18, 2010. p. 203 – 208. DOI : 10.1145/1785481.1785532.

Energy-Efficient Variable-Flow Liquid Cooling in 3D Stacked Architectures

A. K. Coskun; D. Atienza; T. Simunic; T. Brunschwiler; B. Michel 

2010. IEEE/ACM 2010 Design, Automation and Test in Europe Conference (DATE 2010), Dresden, March 8-12, 2010. p. 111 – 116. DOI : 10.1109/DATE.2010.5457228.

Evaluation and Design Exploration of Solar Harvested-Energy Prediction Algorithm

M. I. Ali; J. Recas; D. Atienza; B. M. Al-Hashimi 

2010. IEEE/ACM 2010 Design, Automation and Test in Europe Conference (DATE 2010), Dresden, March 8-12, 2010. p. 7 – 12. DOI : 10.1109/DATE.2010.5457222.

A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication

V. Rana; D. Atienza; M. D. Santambrogio; D. Sciuto; G. De Micheli 

2010. 16th IFIP/IEEE International Conference on Very Large Scale Integration, Rhodes, Greece, October 13-15, 2008. p. 232 – 250. DOI : 10.1007/978-3-642-12267-5_13.

Parallel and Distributed Optimization of Dynamic Data Structures for Multimedia Embedded Systems

J. L. Risco-Martín; D. Atienza; J. I. Hidalgo; J. Lanchares 

Parallel and Distributed Computational Intelligence; Berlin, Heidelberg: Springer Berlin Heidelberg, 2010. p. 263 – 290.

Multicore thermal management using approximate explicit Model Predictive Control

F. Zanini; C. N. Jones; D. Atienza Alonso; G. De Micheli 

2010. IEEE International Symposium on Circuits and Systems (ISCAS), Paris, France, May 30-June 2, 2010. p. 3321 – 3324. DOI : 10.1109/ISCAS.2010.5537891.

Fuzzy Control for Enforcing Energy Efficiency in High-Performance 3D Systems

M. M. Sabry Aly; A. K. Coskun; D. Atienza Alonso 

2010. 2010 International Conference on Computer-Aided Design (ICCAD 2010), San Jose, CA, November 7-11, 2010. p. 642 – 648. DOI : 10.1109/ICCAD.2010.5654235.

Thermal-Aware Compilation for Register Window-Based Embedded Processors

M. M. Sabry Aly; A. Rodrigo; J. Luis; D. Atienza Alonso 

IEEE Embedded Systems Letters. 2010. Vol. 2, num. 4, p. 103 – 106. DOI : 10.1109/LES.2010.2081343.

Simulation of High-Performance Memory Allocators

J. L. Risco-Martin; J. M. Colmenar; D. Atienza Alonso; H. Perez; J. Ignacio 

2010. 13th Euromicro Conference On Digital System Design (DSD 2010), Lille, France, September 1-3, 2010. p. 275 – 282. DOI : 10.1109/DSD.2010.44.

Compact transient thermal model for 3D ICs with liquid cooling via enhanced heat transfer cavity geometries

A. Sridhar; A. Vincenzi; M. Ruggiero; T. Brunschwiler; D. Atienza Alonso 

2010. The 16th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC’10), Barcelona, Spain, 6-8 October, 2010. p. 105 – 110.

Thermal-Aware Design of of 3D ICs with Inter-Tier Liquid Cooling

D. Atienza Alonso 

2010. 56th International Electron Devices Meeting (IEDM 2010), San Francisco, CA, USA, December 6-9th, 2010. DOI : 10.1109/IEDM.2010.5703379.

Run-time Mapping of Applications on FPGA-based Reconfigurable Systems

I. Beretta; V. Rana; D. Atienza Alonso; D. Sciuto 

2010. IEEE 2010 International Symposium on Circuits and Systems (ISCAS), Paris, France, May 30 – June 2, 2010. p. 3329 – 3332. DOI : 10.1109/ISCAS.2010.5537893.

3D-ICE: Fast compact transient thermal modeling for 3D-ICs with inter-tier liquid cooling

A. Sridhar; A. Vincenzi; M. Ruggiero; T. Brunschwiler; D. Atienza Alonso 

2010. 2010 International Conference on Computer-Aided Design (ICCAD 2010), San Jose, CA, USA, November 7-11 2010. p. 463 – 470. DOI : 10.1109/ICCAD.2010.5653749.

HTPCP: GNSS-R multi-channel correlation waveforms post-process solution for GOLD-RTR Instrument

Y. Guo; D. Atienza Alonso; A. Rius; S. Ribo; C. Ferrer 

2010. 2010 NASA/ESA Conference on Adaptive Hardware and Systems (AHS-2010), Anaheim, CA, USA, June 13-18, 2010. p. 157 – 163. DOI : 10.1109/AHS.2010.5546267.

Simulation of High-Performance Memory Allocators

J. L. Risco-Martin; J. M. Colmenar; D. Atienza; J. I. Hidalgo 

2010. 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD), Lille, France, 1-3 09 2010. p. 275 – 282. DOI : 10.1109/DSD.2010.44.

Thermal-Aware Compilation for System-on-Chip Processing Architectures

M. M. Sabry Aly; A. Rodrigo; J. Luis; D. Atienza Alonso 

2010. 20th ACM Great Lakes Symposium on VLSI (GLSVLSI), Providence, Rhode Island, USA, May 16-18, 2010. p. 221 – 226. DOI : 10.1145/1785481.1785535.

Multicore thermal management using approximate explicit model predictive control

F. Zanini; C. Jones; D. Atienza Alonso; G. De Micheli 

2010. IEEE International Symposium on Circuits and Systems – ISCAS 2010, Paris, France, 30 05 – 2 06 2010. p. 3321 – 3324. DOI : 10.1109/ISCAS.2010.5537891.

A Parallel Evolutionary Algorithm to Optimize Dynamic Memory Managers in Embedded Systems

J. L. Risco-Martin; D. Atienza Alonso; J. M. Colmenar; O. Garnica 

Parallel Computing -Amsterdam-. 2010. Vol. 36, num. 10, p. 572 – 590. DOI : 10.1016/j.parco.2010.07.001.

Temperature sensor placement in thermal management systems for MPSoCs

F. Zanini; D. Atienza Alonso; C. Jones; G. De Micheli 

2010. IEEE International Symposium on Circuits and Systems – ISCAS 2010, Paris, France, 30 05 – 2 06 2010. p. 1065 – 1068. DOI : 10.1109/ISCAS.2010.5537347.

Temperature Sensor Placement in Thermal Management Systems for MPSoCs

F. Zanini; D. Atienza Alonso; C. N. Jones; G. De Micheli 

2010. IEEE International Symposium on Circuits and Systems (ISCAS), Paris, France, May 30-June 2, 2010. p. 1065 – 1068. DOI : 10.1109/ISCAS.2010.5537347.

Dynamic Attentive System for Omnidirectional Video

I. Bogdanova; A. Bur; P-A. Farine 

2009. Picture Coding Symposium, Chicago, May 6-8, 2009. p. 529 – 532. DOI : 10.1109/PCS.2009.5167395.

A Stochastic Perturbative Approach to Design a Defect-Aware Thresholder in the Sense Amplifier of Crossbar Memories

H. Ben Jamaa; D. Atienza; Y. Leblebici; G. De Micheli 

2009. 14th IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), Yokohama, Japan, January 19-22, 2009. p. 835 – 840. DOI : 10.1109/ASPDAC.2009.4796584.

Optimization of Dynamic Memory Managers for Embedded Systems Using Grammatical Evolution

J. L. Risco-Martín; D. Atienza; R. Gonzalo; J. I. Hidalgo 

2009. 11th Annual Conference on Genetic and Evolutionary Computation (GECCO 2009), Montreal, July 8-12, 2009. p. 1609 – 1616. DOI : 10.1145/1569901.1570116.

A Control Theory Approach for Thermal Balancing of MPSoC

F. Zanini; D. Atienza; G. De Micheli 

2009. 14th Asia and South Pacific Design Automation Conference (ASP-DAC 09), Yokohama, Japan, January 19-22, 2009. p. 37 – 42. DOI : 10.1109/ASPDAC.2009.4796438.

Optimization of Multimedia Embedded Applications using Parallel Genetic Algorithms

J. Risco-Martín; I. Hidalgo; D. Atienza; J. Lanchares 

Parallel and Distributed Computational Intelligence; Berlin: Springer, 2009. p. 263 – 290.

Particle Swarm Optimization of Memory usage in Embedded Systems

J. L. Risco-Martin; O. Garnica; J. Lanchares; D. Atienza; J. I. Hidalgo 

Inderscience Journal of High Performance Systems Architecture (IJHPSA). 2009. Vol. 1, num. 4, p. 209 – 219. DOI : 10.1504/IJHPSA.2008.024205.

Through Silicon Via-Based Grid for Thermal Control in 3D Chips

J. L. Ayala; A. Sridhar; V. Pangracious; D. Atienza; Y. Leblebici 

2009. Fourth International ICST Conference on Nano-Networks (Nano-Net 2009), Luzern, October 18-20, 2009. p. 90 – 98. DOI : 10.1007/978-3-642-04850-0_14.

Exploration of Memory Hierarchy Configurations for Efficient Garbage Collection on High-Performance Embedded Systems

J. M. Velasco; D. Atienza; K. Olcoz 

2009. 19th ACM Great Lakes Symposium on VLSI (GLSVLSI), Boston, May 9-12, 2009. p. 3 – 8. DOI : 10.1145/1531542.1531549.

Wavelet-Based ECG Delineation on a Wearable Embedded Sensor Platform

N. Boichat; N. Khaled; F. Rincon; D. Atienza 

2009. 6th IEEE International Workshop on Body Sensor Networks (BSN 2009), Berkeley, USA, June 3rd – 5th, 2009. p. 256 – 261. DOI : 10.1109/P3644.29.

Prediction and management in energy harvested wireless sensor nodes

J. Recas Piorno; C. Bergonzini; D. Atienza; T. Simunic Rosing 

2009. The 1st International Conference Wireless Vitae 2009 on Wireless Communications, Vehicular Technology, Information Theory and Aerospace & Electronic Systems Technology, Aalbord, Denmark, May 17th – 20th, 2009. p. 6 – 10. DOI : 10.1109/WIRELESSVITAE.2009.5172412.

Mixed Heuristic and Mathematical Programming Using Reference Points for Dynamic Data Types Optimization in Multimedia Embedded Systems

J. L. Risco-Martín; J. I. Hidalgo; D. Atienza; O. Garnica; J. Lanchares 

2009. 11th Annual Conference on Genetic and Evolutionary Computation (GECCO ’09), Montreal, July 8-12, 2009. p. 1601 – 1608. DOI : 10.1145/1569901.1570115.

Multi-Lead Wavelet-Based ECG Delineation on a Wearable Embedded Sensor Platform

F. Rincon; N. Boichat; V. Barbero; N. Khaled; D. Atienza 

Computers in Cardiology. 2009. Vol. 36, num. 9, p. 289 – 292.

Optimization Methodology of Dynamic Data Structures Based on Genetic Algorithms for Multimedia Embedded Systems

C. Baloukas; J. L. Risco-Martin; D. Atienza; C. Poucet; L. Papadopoulos et al. 

Elsevier Journal of Systems and Software. 2009. Vol. 82, num. 4, p. 590 – 602. DOI : 10.1016/j.jss.2008.08.032.

Implementation of an automated ECG-based diagnosis for a wireless body sensor platform

F. J. Rincon; L. Gutierrez; M. Jimenez; V. Diaz; N. Khaled et al. 

2009. International Conference on Biomedical Electronics and Devices (BIODEVICES 2009), Porto, Portugal, January 14-17, 2009. p. 88 – 96.

Modeling and Dynamic Management of 3D Multicore Systems with Liquid Cooling

A. K. Coskun; J. L. Ayala; D. Atienza; T. Simunic 

2009. 17th Annual IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Florianopolis, October 12-14, 2009. p. 60 – 65. DOI : 10.1109/VLSISOC.2009.604132.

Dynamic Thermal Management in 3D Multicore Architectures

A. K. Coskun; J. L. Ayala; D. Atienza; T. Simunic; Y. Leblebici 

2009. Design, Automation & Test in Europe (DATE ’09), Nice, April 20-24, 2009. p. 1 – 6. DOI : 10.1109/DATE.2009.5090885.

Run-Time Mapping for Dynamically-Added Applications in Reconfigurable Embedded Systems

I. Beretta; V. Rana; D. Atienza Alonso; M. D. Santambrogio; D. Sciuto 

2009. IEEE International Conference on Microelectronics (ICM), 2009, Marrakech, Morocco, December 19-22, 2009. p. 157 – 160. DOI : 10.1109/ICM.2009.5418666.

Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems

V. Rana; S. Murali; D. Atienza; M. D. Santambrogio; D. Sciuto et al. 

2009. 2009 International Conference on Hardware/Software Codesign and System Synthesis (CODES-ISSS 2009), Grenoble, October 11-16, 2009. p. 325 – 334. DOI : 10.1145/1629435.1629480.

Emulation-Based Transient Thermal Modeling of 2D/3D Systems-on-Chip with Active Cooling

D. Atienza 

2009. 15th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC 2009), Leuven, October 7-9, 2009. p. 50 – 55.

Inducing Thermal-Awareness in Multicore Systems Using Networks-on-Chip

E. Martinez; D. Atienza 

2009. IEEE Annual Symposium on VLSI 2009 (ISVLSI), Tampa, May 13-15, 2009. DOI : 10.1109/ISVLSI.2009.25.

Multicore Thermal Management with Model Predictive Control

F. Zanini; D. Atienza; L. Benini; G. De Micheli 

2009. 19th European Conference on Circuit Theory and Design (ECCTD 2009), Antalya, Turkey, August 22-27, 2009. p. 90 – 95. DOI : 10.1109/ECCTD.2009.5275073.

Design of Compact Imperfection-Immune CNFET Layouts for Standard-Cell-Based Logic Synthesis

S. Bobba; J. Zhang; A. Pullini; D. Atienza; H-S. P. Wong et al. 

2009. Design, Automation & Test in Europe Conference, (DATE), Nice, France, April 20-24, 2009. p. 616 – 621. DOI : 10.1109/DATE.2009.5090741.

Optimal Multi-Processor SoC Thermal Simulation via Adaptive Differential Equation Solvers

F. Zanini; D. Atienza; A. K. Coskun; G. De Micheli 

2009. 17th Annual IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Florianopolis, Brazil, October 12-14, 2009. p. 80 – 85. DOI : 10.1109/VLSISOC.2009.6041344.

Thermal-Aware Data Flow Analysis

J. L. Ayala; D. Atienza; P. Brisk 

2009. Design Automation Conference (DAC 2009), San Francisco, CA,USA, July 26-31, 2009. p. 613 – 614. DOI : 10.1145/1629911.1630069.

Thermal Balancing Policy for Multiprocessor Stream Computing Platforms

F. Mulas; D. Atienza; A. Acquaviva; S. Carta; L. Benini et al. 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). 2009. Vol. 28, num. 12, p. 1870 – 1882. DOI : 10.1109/TCAD.2009.2032372.

Processor Speed Control with Thermal Constraints

A. Mutapcic; S. Boyd; S. Murali; D. Atienza; G. De Micheli et al. 

IEEE Transactions on Circuits and Systems: Part I (TCAS-I). 2009. Vol. 56, num. 9, p. 1994 – 2008. DOI : 10.1109/TCSI.2008.2011589.

HW-SW Emulation Framework for Temperature-Aware Design in MPSoCs

D. Atienza; D. Valle; G. Pablo; G. Paci; F. Poletti et al. 

ACM Transactions on Design Automation for Embedded Systems (TODAES). 2008. Vol. 12, num. 3, p. 1 – 26. DOI : 10.1145/1255456.1255463.

Network-On-Chip Design and Synthesis Outlook

D. Atienza; F. Angiolini; S. Murali; A. Pullini; L. Benini et al. 

Integration-The VLSI journal. 2008. Vol. 41, num. 3, p. 340 – 359. DOI : 10.1016/j.vlsi.2007.12.002.

Visual Attention on the Sphere

I. Bogdanova Vandergheynst; A. Bur; H. Hügli 

2008. IEEE Transactions on Image Processing, San Diego, CA, USA, October 12-15, 2008. p. 200 – 2014. DOI : 10.1109/TIP.2008.2003415.

The spherical approach to omnidirectional visual attention

I. Bogdanova; A. Bur; H. Hügli 

2008. 16th European Signal Processing Conference (EUSIPCO), Lausanne, August 25-29, 2008.

Stochastic Modeling and Analysis for Environmentally Powered Wireless Sensor Nodes

A. Susu; A. Acquaviva; D. Atienza Alonso; G. De Micheli 

2008. 6th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks (WiOPT), Berlin, Germany, March 31 – April 4th, 2008. p. 125 – 134. DOI : 10.1109/WIOPT.2008.4586054.

Analysis of Multi-Objective Evolutionary Algorithms to Optimize Dynamic Data Types in Embedded Systems

J. I. Hidalgo; J. L. Risco; D. Atienza; J. Lanchares 

2008. 10th Annual Conference on Genetic and Evolutionary Computation (GECCO ’08), Atlanta, July 12-16, 2008. p. 1515 – 1522. DOI : 10.1145/1389095.1389388.

Programmable Logic Circuits based on Ambipolar CNFET

H. Ben Jamaa; D. Atienza; Y. Leblebici; G. De Micheli 

2008. 45th Design Automation Conference (DAC), Anaheim, California, USA, June 8-13, 2008. p. 339 – 340. DOI : 10.1145/1391469.1391556.

Thermal Balancing Policy for Streaming Computing on Multiprocessor Architectures

F. Mulas; M. Buttu; M. Pittau; S. Carta; D. Atienza et al. 

2008. Design, Automation and Test in Europe Conference (DATE ’08), Munich, Germany, March 10-14, 2008. p. 734 – 739. DOI : 10.1109/DATE.2008.4484766.

OS-Based Sensor Node Platform and Energy Estimation Model for Health-Care Wireless Sensor Networks

F. Rincón; M. Paselli; J. Recas; Q. Zhao; M. Sanchez-Elez et al. 

2008. Design, Automation and Test in Europe Conference(DATE), Munich, Germany, March 10-14, 2008. p. 1027 – 1032. DOI : 10.1109/DATE.2008.4484816.

Prospects for logic-on-a-wire

K. E. Moselund; D. Bouvet; M. H. Ben Jamaa; D. Atienza; Y. Leblebici et al. 

Microelectronic Engineering. 2008. Vol. 85, num. 5-6, p. 1406 – 1409. DOI : 10.1016/j.mee.2008.01.022.

Efficient Object Placement Including Node Selection in a Distributed Virtual Machine

J. M. Velasco; D. Atienza; K. Olcoz; F. Tirado 

2008. International Conference on Parallel Computing Conference (ParCo), Aachen, September 4-7, 2007. p. 509 – 516.

Variability-Aware Design of Multilevel Logic Decoders for Nanoscale Crossbar Memories

H. Ben Jamaa; K. E. Moselund; D. Atienza; D. Bouvet; A. M. Ionescu et al. 

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2008. Vol. 27, num. 11, p. 2053 – 2067. DOI : 10.1109/TCAD.2008.2006076.

Design Flow of Dynamically-Allocated Data Types in Embedded Applications Based on Elitist Evolutionary Computation Optimization

J. L. Risco; J. I. Hidalgo; D. Atienza; J. Lanchares 

2008. 11th Euromicro Conference on Digital System Design (DSD 2008), Parma, September 12-14, 2008. p. 455 – 463. DOI : 10.1109/DSD.2008.118.

A Parallel Evolutionary Algorithm to Optimize Dynamic Data Types in Embedded Systems

J. L. Risco-Martin; D. Atienza; J. I. Hidalgo; J. Lanchares 

Soft Computing Journal. 2008. Vol. 12, num. 12, p. 1157 – 1167. DOI : 10.1007/s00500-008-0295-y.

Optimization of Dynamic Data Types in Embedded Systems using DEVS/SOA-based Modeling and Simulation

J. L. Risco; S. Mittal; D. Atienza; J. I. Hidalgo; J. Lanchares 

2008. 3rd International ICST Conference on Scalable Information Systems (INFOSCALE 2009), Napoli, June 4-6, 2008. p. 1 – 11.

Designing Routing and Message-Dependent Deadlock Free Networks on Chips

G. De Micheli; S. Mir; R. Reis; S. Murali; P. Meloni et al. 

VLSI-SoC: Research Trends in VLSI and Systems on Chip; London: Springer, 2008. p. 337 – 356.

Register File Reliability Analysis Through Cycle-Accurate Thermal Emulation

J. L. Ayala Rodrigo; P. Garcia del Valle; D. Atienza Alonso 

2008. 2008 International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA ‘08), Hawaii, USA, January 21-23, 2008. p. 61 – 66. DOI : 10.1109/IWIA.2008.7.

Joint Hardware-Software Leakage Minimization Approach for the Register File of VLIW Embedded Architectures

D. Atienza; P. Raghavan; J. L. Ayala; G. De Micheli; F. Catthoor et al. 

Integration – The VLSI journal. 2008. Vol. 41, num. 1, p. 38 – 48. DOI : 10.1016/j.vlsi.2007.04.004.

Temperature Control of High Performance Multicore Platforms Using Convex Optimization

S. Murali; D. Atienza; L. Benini; G. De Micheli 

2008. Design, Automation and Test in Europe Conference (DATE ’08), Munich, Germany, March 10-14, 2008. p. 10 – 115. DOI : 10.1109/DATE.2008.4484671.

Reliability-Aware Design for Nanometer-Scale Devices

D. Atienza; G. De Micheli; L. Benini; J. L. Ayala; D. Valle et al. 

2008. Asia and South Pacific Design Automation Conference (ASP-DAC), Seoul, Korea, January 21-24, 2008. p. 549 – 554. DOI : 10.1109/ASPDAC.2008.4484011.

Bringing NoCs to 65nm

A. Pullini; F. Angiolini; S. Murali; D. Atienza; G. De Micheli et al. 

IEEE Micro Magazine. 2007. Vol. 12, num. 5, September/October, p. 75 – 85. DOI : 10.1109/MM.2007.4378785.

A Simulation Model for Wireless Sensor Networks Based on TOSSIM

F. J. Rincón; A. E. Susu; M. Sánchez-Élez; D. Atienza; G. De Micheli 

2007. XXII Conference on Design of Circuits and Integrated Systems (DCIS), Sevilla, Spain, November 21-23, 2007. p. 278 – 283.

Fault-Tolerant Multi-Level Logic Decoder for Nanoscale Crossbar Memory Arrays

B. Jamaa; M. Haykel; K. E. Moselund; D. Atienza; D. Bouvet et al. 

2007. International Conference on Computer-Aided Design (ICCAD), San Jose, California, USA, November 4-8, 2007. p. 765 – 772. DOI : 10.1109/ICCAD.2007.4397358.

Thermal Characterization and Thermal Management in Processor-Based Systems

A. Rodrigo; J. Luis; A. Apavatjrut; D. Atienza Alonso; M. Lopez-Vallejo et al. 

Power-aware Computing Systems. 2007. Vol. 20, p. 07041.

Reconfiguration Strategies for Environmentally Powered Devices: Theoretical Analysis and Experimental Validation

A. E. Susu; M. Magno; A. Acquaviva; D. Atienza; G. De Micheli 

2007.  p. 341 – 360. DOI : 10.1007/978-3-540-71528-3_21.

NoC Design and Implementation in 65 nm Technology

A. Pullini; F. Angiolini; P. Meloni; D. Atienza; S. Murali et al. 

2007. First ACM/IEEE International Symposium on Networks-on-Chip (NOCS), Princeton, New Jersey, USA, May 7-9, 2007. p. 273 – 282. DOI : 10.1109/NOCS.2007.30.

Application of FPGA Emulation to SoC Floorplan and Packaging Exploration

P. G. Del Valle; D. Atienza; G. Paci; F. Poletti; L. Benini et al. 

2007. XXII Conference on Design of Circuits and Integrated Systems (DCIS), Sevilla, Spain, November 21-23, 2007. p. 236 – 240.

Temperature-Aware Processor Frequency Assignment for MPSoCs Using Convex Optimization

S. Murali; A. Mutapcic; D. Atienza; R. Gupta; S. P. Boyd et al. 

2007. International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS), Salzburg, Austria, September 30 – October 3, 2007. p. 111 – 116.

Exploring Temperature-Aware Design of Memory Architectures in VLIW Systems

J. L. Ayala; A. Apavatjrut; D. Atienza; M. Lopez-Vallejo 

2007. International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems 2007, Mauii, January 24-25, 2007. p. 81 – 88. DOI : 10.1109/IWIA.2007.7.

Design Technologies for Networks-on-Chip

G. De Micheli 

NoC Symposium, Princeton, New Jersey, USA, May 6-8, 2007.

Optimization of Dynamic Data Structures in Multimedia Embedded Systems Using Evolutionary Computation

D. Atienza; C. Baloukas; L. Papadopoulos; C. Poucet; S. Mamagkakis et al. 

2007. 10th ACM International Workshop on Software & Compilers for Embedded Systems (SCOPES), Nice, France, April 23-24, 2007. p. 31 – 40. DOI : 10.1145/1269843.1269849.

Systematic Methodology for Exploration of Performance – Energy Trade-offs in Network Applications Using Dynamic Data Type Refinement

S. Mamagkakis; A. Bartzas; G. Pouiklis; D. Atienza; F. Catthoor et al. 

Journal of System Architectures: the EUROMICRO Journal. 2007. Vol. 53, num. 7, p. 417 – 436. DOI : 10.1016/j.sysarc.2006.12.001.

System-Level Design for Nano-Electronics

D. Atienza; S. Bobba; M. Poli; G. De Micheli; L. Benini 

2007. 14th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Marrakech, Morocco, December 9-12, 2007. p. 747 – 751. DOI : 10.1109/ICECS.2007.4511099.

Systematic Intermediate Sequence Removal for Reduced Memory Accesses

C. Poucet; S. Mamagkakis; D. Atienza; F. Catthoor 

2007. 10th ACM International Workshop on Software & Compilers for Embedded Systems (SCOPES), Nice, France, April 23-24, 2007. p. 51 – 60. DOI : 10.1145/1269843.1269851.

Early Wire Characterization for Predictable Network-on-Chip Global Interconnects

I. Hatirnaz; S. Badel; N. Pazos; Y. Leblebici; S. Murali et al. 

2007. ACM International Workshop on System Level Interconnect Prediction (SLIP), Austin, Texas, USA, March 17-18. p. 57 – 64. DOI : 10.1145/1231956.1231969.

NoC emulation: a tool and design flow for MPSoC

N. Genko; D. Atienza; G. De Micheli; L. Benini 

IEEE Circuits and Systems Magazine. 2007. Vol. 7, num. 4, p. 42 – 51. DOI : 10.1109/MCAS.2007.910029.

A Method for Routing Packets Across Multiple Paths in NoCs with In-Order Delivery and Fault-Tolerance Guarantees

S. Murali; D. Atienza; L. Benini; G. De Micheli 

VLSI-Design Journal. 2007.  p. 37627. DOI : 10.1155/2007/37627.

Energy-Aware Compilation and Hardware Design for VLIW Embedded Systems

J. L. Ayala; D. Atienza; P. Raghavan; M. Lopez-Vallejo; F. Catthoor et al. 

Inderscience International Journal of Embedded Systems. 2007. Vol. 3, num. 1, p. 73 – 82. DOI : 10.1504/IJES.2007.016035.

Multi-Processor Operating System Emulation Framework with Thermal Feedback for Systems-on-Chip

S. Carta; A. Acquaviva; P. G. Del Valle; M. Pittau; D. Atienza et al. 

2007. 17th ACM Great Lakes Symposium on VLSI (GLSVLSI), Stresa – Lago Maggiore, Italy, March 11-13, 2007. p. 311 – 316. DOI : 10.1145/1228784.1228787.

Reduction of Register File Delay Due to Process Variability in VLIW Embedded Processors

P. Raghavan; J. L. Ayala; D. Atienza; F. Catthoor; G. De Micheli et al. 

2007. IEEE International Symposium on Circuits and Systems (ISCAS 2007), New Orleans, Louisiana, USA, May 27-30, 2007. p. 121 – 124. DOI : 10.1109/ISCAS.2007.378236.

Improving the Fault Tolerance of Nanometric PLA Designs

F. Angiolini; H. Ben Jamaa; D. Atienza; L. Benini; G. De Micheli 

2007. Design Automation and Test in Europe (DATE), Nice, France, April 16-20, 2007. p. 570 – 575. DOI : 10.1109/DATE.2007.364654.

Un Algoritmo Genético Multi-Objetivo para la Optimización de Memoria Dinámica en Sistemas Empotrados

J. I. Hidalgo; D. Atienza; S. Belmar; C. M. González; P. Virseda et al. 

2007. V Congreso Español sobre Metaheurísticas, Algoritmos Evolutivos y Bioinspirados (MAEB 2007), Tenerife, Spain, February 4-7, 2007. p. 323 – 330.

Synthesis of Predictable Networks-on-Chip Based Interconnect Architectures for Chip Multi-Processors

S. Murali; P. Meloni; D. Atienza; S. Carta; L. Benini et al. 

IEEE Transactions on VLSI. 2007. Vol. 15, num. 8, p. 869 – 880. DOI : 10.1109/TVLSI.2007.900742.

Diseño de redes en chip de propósito específico con información de rutado físico

D. Atienza; S. Murali; F. Angiolini; L. Benini; G. De Micheli et al. 

2006. XVII Jornadas de Parelelismo, Albacete, Spain, September 2006. p. 597 – 602.

Comparison of a Timing-Error Tolerant Scheme with a Traditional Re-transmission Mechanism for Networks on Chips

S. Murali; R. Tamhankar; F. Angiolini; A. Pullini; D. Atienza et al. 

2006. International Symposium on System-on-Chip, Tampere, Finland, November 14-16, 2006. p. 1 – 4. DOI : 10.1109/ISSOC.2006.321983.

Reliability Support for On-chip Memories Using Networks-on-Chips

F. Angiolini; D. Atienza; S. Murali; L. Benini; G. De Micheli 

2006. 24th International Conference on Computer Design (ICCD), San Jose, California, USA, October 1-4, 2006. p. 296 – 306. DOI : 10.1109/ICCD.2006.4380846.

Designing Message-Dependent Deadlock Free Networks on Chips for Application-Specific Systems on Chips

S. Murali; P. Meloni; F. Angiolini; D. Atienza; S. Carta et al. 

2006. IFIP International Conference on Very Large Scale Integration (VLSI-SoC 2006), Nice, France, October 16-18, 2006. p. 158 – 163. DOI : 10.1109/VLSISOC.2006.313226.

A Fast HW/SW FPGABased Thermal Emulation Framework for MultiProcessor SystemonChip

D. Atienza; P. Garcia Del Valle; G. Paci; F. Poletti; L. Benini et al. 

2006. Design Automation Conference (DAC), San Fransisco, California, USA, July 24-28, 2006. p. 618 – 623. DOI : 10.1145/1146909.1147068.

Systematic Design Flow for Dynamic Data Management in Visual Texture Decoder of MPEG-4

A. Bartzas; M. Peon; S. Mamagkakis; D. Atienza; F. Catthoor et al. 

2006. IEEE International Symposium on Circuits and Systems (ISCAS’06), Kos, Greece, June 12-17, 2006. p. 4747 – 4750. DOI : 10.1109/ISCAS.2006.1693691.

Dynamic Data Type Refinement Methodology for Systematic Performance-Energy Design Exploration of Network Applications

A. Bartzas; S. Mamagkakis; G. Pouiklis; D. Atienza; F. Catthoor et al. 

2006. IEEE/ACM Design, Automation and Test in Europe Conference (DATE ‘06), Munich, March 12-16, 2006. p. 740 – 745. DOI : 10.1109/DATE.2006.244093.

Designing Application-Specific Networks on Chips with Floorplan Information

S. Murali; P. Meloni; F. Angiolini; D. Atienza; S. Carta et al. 

2006. International Conference on Computer-Aided Design (ICCAD), San Jose, California, USA, November 5-9, 2006. p. 355 – 362. DOI : 10.1109/ICCAD.2006.320058.

Automated Exploration of Pareto-optimal Configurations in Parameterized Dynamic Memory Allocation for Embedded Systems

S. Mamagkakis; D. Atienza; C. Poucet; F. Catthoor; D. Soudris et al. 

2006. IEEE/ACM Design, Automation and Test in Europe Conference (DATE ‘06), Munich, March 12-16, 2006. p. 874 – 875. DOI : 10.1109/DATE.2006.243771.

Power-efficient data management for dynamic applications

P. Marchal; J. I. Gomez; D. Atienza; S. Mamagkakis 

System-on-Chip: Next Generation Electronics; London: IEL Press book, 2006. p. 319 – 356.

Energy-efficient dynamic memory allocators at the middleware level of embedded systems

S. Mamagkakis; D. Atienza; C. Poucet; F. Catthoor; D. Soudris 

2006. 6th Annual ACM Conference on Embedded Software (EMSOFT), Seoul, October 22-25, 2006. p. 215 – 222. DOI : 10.1145/1176887.1176919.

Template-Based Semi-Automatic Profiling of Multimedia Applications

C. Poucet; D. Atienza; F. Catthoor 

2006. International Conference on Multimedia and Expo (ICME), Montreal, Canada, July 9-12, 2006. p. 1061 – 1064. DOI : 10.1109/ICME.2006.262717.

Systematic Dynamic Memory Management Design Methodology for Reduced Memory Footprint

D. Atienza; S. Mamagkakis; F. Catthoor; J. M. Mendias; D. Soudris 

ACM Transactions on Design Automation for Embedded Systems. 2006. Vol. 11, num. 2, p. 465 – 489. DOI : 10.1145/1142155.1142165.

Reducing Memory Fragmentation in Network Applications with Dynamic Memory Allocators Optimized for Performance

S. Mamagkakis; C. Baloukas; D. Atienza; F. Catthoor; D. Soudris et al. 

Elsevier Computer Communications. 2006. Vol. 29, num. 17, p. 2612 – 2620. DOI : 10.1016/j.comcom.2006.01.031.

Compiler-Driven Leakage Energy Reduction in Banked Register Files

D. Atienza; P. Raghavan; J. L. Ayala; G. De Micheli; F. Catthoor et al. 

2006. Power and Timing Modeling, Optimization and Simulation (PATMOS), Montpellier, France, 13-15 September 2006. p. 107 – 116. DOI : 10.1007/11847083_11.

Compilation for Delay Impact Minimization in VLIW Embedded Systems

J. L. Ayala; D. Atienza; P. Raghavan; M. Lopez-Vallejo; F. Catthoor 

2006. IEEE International Workshop on Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA ‘06), Kona, Hawaii, USA, January 21-23, 2006. p. 83 – 90. DOI : 10.1109/IWIAS.2006.25.

Efficient System-Level Prototyping of Power-Aware Dynamic Memory Managers for Embedded Systems

D. Atienza; S. Mamagkakis; F. Poletti; J. M. Mendias; F. Catthoor et al. 

Integration-The VLSI journal. 2006. Vol. 39, num. 2, p. 113 – 130. DOI : 10.1016/j.vlsi.2004.08.003.