How to Count Coughs: An Event-Based Framework for Evaluating Automatic Cough Detection Algorithm Performance
2024. 2024 IEEE 20th International Conference on Body Sensor Networks, Chicago, Illinois, US, 2024-10-15 – 2024-10-17. DOI : 10.1109/BSN63547.2024.10780617.Bank on Compute-near-Memory: Design Space Exploration of Processing-near-Bank Architectures
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2024. Vol. 43, num. 11, p. 4117 – 4129. DOI : 10.1109/TCAD.2024.3442989.BiomedBench: A benchmark suite of TinyML biomedical applications for low-power wearables
IEEE Design & Test. 2024. p. 1 – 1. DOI : 10.1109/MDAT.2024.3483034.Energy Efficient Co-design for Radio Interferometry using Reconfigurable Accelerators
EcoCloud Annual Event on IT Sustainability 2024, Lausanne, Switzerland, 2024-10-08.UrbanTwin: An urban digital twin for climate action
EcoCloud Annual Event on IT Sustainability 2024, Lausanne, Switzerland, 2024-10-08.ACE: Automated optimization towards iterative Classification in Edge health monitors
IEEE Transactions on Biomedical Circuits and Systems. 2024. p. 1 – 11. DOI : 10.1109/TBCAS.2024.3468160.An Evaluation Framework for Dynamic Thermal Management Strategies in 3D MultiProcessor System-on-Chip Co-Design
IEEE Transactions on Parallel and Distributed Systems. 2024.Energy-Efficient FPGA Solutions for Large-Scale FFTs and Non-Uniform FFTs A Software-Hardware Co-Design Approach for Radio Interferometry
Swiss SKA Days 2024, Campus Biotech Geneva, Switzerland, 2024-09-02 – 2024-09-04.Scaling Sustainable Computing: Advanced ML Techniques for Enhancing the Efficiency in the SKA Regional Data Centers
Swiss SKA Days 2024, Campus Biotech Geneva, Switzerland, 2024-09-02 – 2024-09-04.Which Coupled is Best Coupled? An Exploration of AIMC Tile Interfaces and Load Balancing for CNNs
IEEE Transactions on Parallel and Distributed Systems. 2024. p. 1 – 15. DOI : 10.1109/TPDS.2024.3437657.Energy-Efficient Frequency Selection Method for Bio-Signal Acquisition in AI/ML Wearables
2024. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED ’24), Newport Beach, CA, USA, August 5–7, 2024. DOI : 10.1145/3665314.3670815.Neuro-Inspired Edge AI Architectures for Distributed Federated Learning
EMERALD 1st Workshop on Distributed Computing with Emerging Hardware Technology, Nantes, France, 2024-06-21.Validation of artificial intelligence for epileptic seizure detection: Moving from research into the clinic
Developmental Medicine & Child Neurology. 2024. DOI : 10.1111/dmcn.16002.Scaling Sustainable Computing New Methods for Enhancing Efficiency in the SKA Regional Data Centers
SKACH Spring Meeting 2024, Winterthur, Switzerland, 2024-06-10 – 2024-06-11.M2SKD: Multi-to-Single Knowledge Distillation of Real-Time Epileptic Seizure Detection for Low-Power Wearable Systems
ACM Transactions on Intelligent Systems and Technology. 2024.Acoustical Features as Knee Health Biomarkers: A Critical Analysis
2024
DBFS: Dynamic Bitwidth-Frequency Scaling for Efficient Software-defined SIMD
2024. 2024 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Knoxville, Tennessee, USA, July 1-3, 2024. p. 204 – 209. DOI : 10.1109/ISVLSI61997.2024.00046.KID-PPG: Knowledge Informed Deep Learning for Extracting Heart Rate from a Smartwatch
2024
Intermediate Address Space: virtual memory optimization of heterogeneous architectures for cache-resident workloads
ACM Transactions on Architecture and Code Optimization. 2024. DOI : 10.1145/3659207.Resource-Efficient Continual Learning for Personalized Online Seizure Detection
2024. 46th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Orlando, Florida, USA, July 15-19, 2024.SAT-based Exact Modulo Scheduling Mapping for Resource-Constrained CGRAs
ACM Journal on Emerging Technologies in Computing Systems. 2024. DOI : 10.48550/arXiv.2402.12834.FETCH: A Fast and Efficient Technique for Channel Selection in EEG Wearable Systems
2024. Conference on Health, Inference, and Learning, NewYork, US, June 27-28, 2024.FEMU: An Open-Source RISC-V Emulation Platform for the Exploration of Accelerator-based Edge Applications
Design, Automation and Test in Europe Conference (DATE), Valencia, Spain, 2024.HEEPocrates: An Ultra-Low-Power RISC-V Microcontroller for Edge-Computing Healthcare Applications
2024
An Energy Efficient Soft SIMD Microarchitecture and Its Application on Quantized CNNs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 2024. DOI : 10.1109/TVLSI.2024.3375793.Is the powersave governor really saving power?
2024. The 24th IEEE/ACM International Symposium on Cluster, Cloud, and Internet Computing (CCGrid 2024), Philadelphia, Pennsylvania, USA, May 6-9, 2024.CloudProphet: A Machine Learning-Based Performance Prediction for Public Clouds
IEEE Transactions on Sustainable Computing. 2024.Accelerator-driven Data Arrangement to Minimize Transformers Run-time on Multi-core Architectures
2024. 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM 2024), Munich, Germany, January 18, 2024. DOI : 10.4230/OASIcs.PARMA-DITAM.2024.3.Combining general and personal models for epilepsy detection with hyperdimensional computing
Artificial Intelligence In Medicine. 2024. Vol. 148, p. 102754. DOI : 10.1016/j.artmed.2023.102754.Distributed Machine Learning Targeting Embedded Systems for Epilepsy Detection
Lausanne, EPFL, 2024.DC is all you need: describing ReLU from a signal processing standpoint
2024
Ambulatory seizure detection
Current Opinion in Neurology. 2024. Vol. 66, num. 2, p. 144 – 145. DOI : 10.1097/WCO.0000000000001248.SzCORE: A Seizure Community Open-source Research Evaluation framework for the validation of EEG-based automated seizure detection algorithms
2024
Exploring High-Performance and Energy-Efficient Architectures for Edge AI-Enabled Applications
Lausanne, EPFL, 2024.Don’t Think It Twice: Exploit Shift Invariance for Efficient Online Streaming Inference of CNNs
2024
EdgeAI-Aware Design of In-Memory Computing Architectures
Lausanne, EPFL, 2024.How to Count Coughs: An Event-Based Framework for Evaluating Automatic Cough Detection Algorithm Performance
2024
SynEHRgy: Synthesizing Mixed-Type Structured Electronic Health Records using Decoder-Only Transformers
2024. 38th Annual Conference on Neural Information Processing Systems, Vancouver Convention Center, Canada, 2024-12-10 – 2024-12-15. DOI : 10.48550/arXiv.2411.13428.Dynamic Scheduling for Event-Driven Embedded Industrial Applications
2023. 31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), Dubai, UAE, October 16-18, 2023. p. 271 – 276. DOI : 10.1109/VLSI-SoC57769.2023.10321845.A 16-bit Floating-Point Near-SRAM Architecture for Low-power Sparse Matrix-Vector Multiplication
2023. 31st IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Dubai, UAE, October 16-18, 2023. p. 74 – 79. DOI : 10.1109/VLSI-SoC57769.2023.10321838.REMOTE: Re-thinking Task Mapping on Wireless 2.5D Systems-on-Package for Hotspot Removal
2023. 31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), Dubai, United Arab Emirates, October 16-18, 2023. DOI : 10.1109/VLSI-SoC57769.2023.10321912.X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller for the Exploration of Ultra-Low-Power Edge Accelerators
EcoCloud Event 2023, Lausanne, Switzerland, 2023.Layer-Wise Learning Framework for Efficient DNN Deployment in Biomedical Wearable Systems
2023. IEEE-EMBS International Conference on Body Sensor Networks: Sensors and Systems for Digital Health (IEEE BSN) 2023, Cambridge, MA, US, October 9-11, 2023. DOI : 10.1109/BSN58485.2023.10331334.Overflow-free Compute Memories for Edge AI Acceleration
Acm Transactions On Embedded Computing Systems. 2023. Vol. 22, num. 5. DOI : 10.1145/3609387.Event-based sampled ECG morphology reconstruction through self-similarity
Computer Methods And Programs In Biomedicine. 2023. Vol. 240, p. 107712. DOI : 10.1016/j.cmpb.2023.107712.Codesign Strategies for Energy-Efficient Exascale Computing for SKA Observatory
EcoCloud Annual Event on IT Sustainability 2023, Lausanne, Switzerland, 2023-09-22.A Multimodal Dataset for Automatic Edge-AI Cough Detection
2023. 45th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Sydney Australia, July 24-27, 2023. DOI : 10.1109/EMBC40787.2023.10340413.Tradeoffs in Low-Power Accelerators Design for Large-Scale Interferometers
The Platform for Advanced Scientific Computing (PASC) Conference, Davos, Switzerland, 26/06/2023.Validating Full-System RISC-V Simulator: A Systematic Approach
RISC-V Summit Europe 2023, Barcelona, Spain, 5-9 June, 2023.X-HEEP: An Open-Source, Configurable and Extendible RISC-V Microcontroller
2023. 20th ACM International Conference on Computing Frontiers (CF), Bologna, Italy, May 9-11, 2023. p. 379 – 380. DOI : 10.1145/3587135.3591431.An Open-Hardware Coarse-Grained Reconfigurable Array for Edge Computing
2023. CF23-OSHW: Computing Frontiers Workshop on Open-Source Hardware, Bologna, Italy, May 9-11, 2023. DOI : 10.1145/3587135.3591437.Dynamic power budget redistribution under a power cap on multi-application environments
Sustainable Computing-Informatics & Systems. 2023. Vol. 38, p. 100865. DOI : 10.1016/j.suscom.2023.100865.Acceleration of Control Intensive Applications on Coarse-Grained Reconfigurable Arrays for Embedded Systems
Transactions on Computers. 2023. Vol. 14, num. 8, p. 1 – 13. DOI : 10.1109/TC.2023.3257504.TiC-SAT: Tightly-coupled Systolic Accelerator for Transformers
2023. ASP-DAC 2023, Tokyo, Japan, January 16-19, 2023. DOI : 10.1145/3566097.3567867.Psychosomatic response to acute emotional stress in healthy students
Frontiers In Physiology. 2023. Vol. 13, p. 960118. DOI : 10.3389/fphys.2022.960118.EpilepsyNet: Interpretable Self-Supervised Seizure Detection for Low-Power Wearable Systems
2023. IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS 2023), Hangzhou, China, June 11-13, 2023. DOI : 10.1109/AICAS57966.2023.10168560.Enhancing reproducibility of machine learning‐based studies in clinical journals through model cards
Developmental Medicine & Child Neurology. 2023. DOI : 10.1111/dmcn.15785.Personalised and Adjustable Interval Type-2 Fuzzy-Based PPG Quality Assessment for the Edge
2023. IEEE International Conference on Fuzzy Systems (FUZZ-IEEE), Incheon, SOUTH KOREA, AUG 13-17, 2023. DOI : 10.1109/FUZZ52849.2023.10309733.Motor-Unit Ordering of Blindly-Separated Surface-EMG Signals for Gesture Recognition
2023. 6th International Conference on System-Integrated Intelligence (SysInt), Genova, ITALY, Sep 07-09, 2022. p. 518 – 529. DOI : 10.1007/978-3-031-16281-7_49.Overflow-free compute memories for edge AI acceleration
2023. International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS 2023), Hamburg, Germany, September 17-22, 2023. DOI : 10.1145/3607888.3609284.Bit-Line Computing for CNN Accelerators Co-Design in Edge AI Inference
IEEE Transactions on Emerging Topics in Computing. 2023. Vol. 11, num. 2, p. 358 – 372. DOI : 10.1109/TETC.2023.3237914.An Error-Based Approximation Sensing Circuit for Event-Triggered Low-Power Wearable Sensors
IEEE Journal on Emerging and Selected Topics in Circuits and Systems. 2023. Vol. 13, num. 2, p. 489 – 501. DOI : 10.1109/JETCAS.2023.3269623.Predicting Survey Response with Quotation-based Modeling: A Case Study on Favorability towards the United States
2023. 10th IEEE Swiss Conference on Data Science (SDS), Zurich, SWITZERLAND, Jun 22-23, 2023. p. 1 – 8. DOI : 10.1109/SDS57534.2023.00008.System-Level Exploration of In-Package Wireless Communication for Multi-Chiplet Platforms
2023. 28th Asia and South Pacific Design Automation Conference (ASPDAC ’23), Tokyo, Japan, January 16-19, 2023. DOI : 10.1145/3566097.3567952.Hyperdimensional computing for biosignal monitoring: Applications for epilepsy detection
Lausanne, EPFL, 2023.Object-oriented modelling of advanced computer cooling solutions
2023. 22nd World Congress of the International Federation of Automatic Control (IFAC), Yokohama, JAPAN, JUL 09-14, 2023. p. 6441 – 6446. DOI : 10.1016/j.ifacol.2023.10.856.Importance of methodological choices in data manipulation for validating epileptic seizure detection models
2023. 45th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, Sydney, Australia, July 24-27, 2023. DOI : 10.1109/EMBC40787.2023.10340493.Hardware-Software co-design Methodologies for Edge AI Optimization
Lausanne, EPFL, 2023.A Multimodal Dataset for Automatic Edge-AI Cough Detection
2023.Real-time Personalized Monitoring of Neurological Disorders on Wearable Systems
Lausanne, EPFL, 2023.Model-Based ISO 14971 Risk Management of EEG-Based Medical Devices
2023. 45th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Sydney Australia, July 24-27, 2023. DOI : 10.1109/EMBC40787.2023.10340131.A semi-supervised algorithm for improving the consistency of crowdsourced datasets: The COVID-19 case study on respiratory disorder classification
Computer Methods and Programs in Biomedicine. 2023. Vol. 241, p. 107743. DOI : 10.1016/j.cmpb.2023.107743.Metamodel for Safety Risk Management of Medical Devices Based on ISO 14971
2023. The ACM/IEEE 26th International Conference on Model-Driven Engineering Languages and Systems, Västerås, Sweden, October 1-6, 2023.Cross Layer Design for the Predictive Assessment of Technology-Enabled Architectures
2023. Design, Automation and Test in Europe Conference and Exhibition (DATE), Antwerp, BELGIUM, Apr 17-19, 2023. DOI : 10.23919/DATE56975.2023.10136923.Decentralized Federated Learning for Epileptic Seizures Detection in Low-Power Wearable Systems
IEEE Transactions on Mobile Computing. 2023. DOI : 10.1109/TMC.2023.3320862.Point-process-based Representation Learning for Electronic Health Records
2023. IEEE-EMBS International Conference on Biomedical and Health Informatics (BHI), Pittsburgh, PA, OCT 15-18, 2023. DOI : 10.1109/BHI58575.2023.10313499.Exploring brain-inspired multi-core heterogeneous hardware templates for low-power biomedical embedded systems
Lausanne, EPFL, 2023.ALPINE: Analog In-Memory Acceleration with Tight Processor Integration for Deep Learning
IEEE Transactions on Computers (TC). 2022. DOI : 10.1109/TC.2022.3230285.ExG Signal Feature Selection Using Hyperdimensional Computing Encoding
2022. 2022 IEEE International Conference on Bioinformatics and Biomedicine (BIBM), Las Vegas, NV, USA, 06-08 December 2022. p. 1688 – 1693. DOI : 10.1109/BIBM55620.2022.9995107.Approximate Zero-Crossing: A new interpretable, highly discriminative and low-complexity feature for EEG and iEEG seizure detection
Journal of Neural Engineering. 2022. DOI : 10.1088/1741-2552/aca1e4.M2D2: Maximum-Mean-Discrepancy Decoder for Temporal Localization of Epileptic Brain Activities
IEEE Journal of Biomedical and Health Informatics (JBHI). 2022. DOI : 10.1109/jbhi.2022.3208780.Adaptive R-Peak Detection on Wearable ECG Sensors for High-Intensity Exercise
IEEE Transactions on Biomedical Engineering. 2022. p. 1 – 12. DOI : 10.1109/TBME.2022.3205304.Using Algorithmic Transformations and Sensitivity Analysis to Unleash Approximations in CNNs at the Edge
MDPI Micromachines – Special Issue “Hardware-Friendly Machine Learning and Its Applications”. 2022. Vol. 13, num. 7. DOI : 10.3390/mi13071143.Machine-Learning Based Monitoring of Cognitive Workload in Rescue Missions with Drones
IEEE Journal of Biomedical and Health Informatics. 2022. p. 1 – 12. DOI : 10.1109/JBHI.2022.3186625.HDTorch: Accelerating Hyperdimensional Computing with GP-GPUs for Design Space Exploration
2022. ICCAD 2022 – 41st IEEE/ACM International Conference on Computer-Aided Design, San Diego, USA, 30 October 2022- 3 November 2022. p. 1 – 8. DOI : 10.1145/3508352.3549475.Error Resilient In-Memory Computing Architecture for CNN Inference on the Edge
2022. Great Lakes Symposium on VLSI 2022 (GLSVLSI ’22), Irvine, California, USA, June 6-8, 2022. DOI : 10.1145/3526241.3530351.A Formal Framework for Maximum Error Estimation in Approximate Logic Synthesis
Ieee Transactions On Computer-Aided Design Of Integrated Circuits And Systems. 2022. Vol. 41, num. 4, p. 840 – 853. DOI : 10.1109/TCAD.2021.3075651.Multi-Centroid Hyperdimensional Computing Approach for Epileptic Seizure Detection
Frontiers in Neurology. 2022. Vol. 13, p. 1 – 13, 816294. DOI : 10.3389/fneur.2022.816294.Performance of the new SmartCardia wireless, wearable oximeter: a comparison with arterial SaO2 in healthy volunteers
Bmc Anesthesiology. 2022. Vol. 22, num. 1, p. 77. DOI : 10.1186/s12871-022-01604-w.INCLASS: Incremental Classification Strategy for Self-Aware Epileptic Seizure Detection
2022. Design Automation and Test in Europe Conference (DATE2022), Grenoble, France, March 16-23, 2022. p. 1449 – 1454. DOI : 10.23919/DATE54114.2022.9774713.Reinforcement Learning-Based Joint Reliability and Performance Optimization for Hybrid-Cache Computing Servers
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022. DOI : 10.1109/TCAD.2022.3158832.Personalized seizure signature: An interpretable approach to false alarm reduction for long‐term epileptic seizure detection
Epilepsia. 2022. Vol. [Early access], p. 1 – 11. DOI : 10.1111/epi.17176.Self-Aware Machine Learning for Chronic Pathology Monitoring on Wearable Devices
Lausanne, EPFL, 2022.Hybrid Handcrafted and Learnable Audio Representation for Analysis of Speech Under Cognitive and Physical Load
2022. Interspeech Conference, Incheon, SOUTH KOREA, Sep 18-22, 2022. p. 386 – 390. DOI : 10.21437/Interspeech.2022-10498.Thermal and Power-Aware Run-Time Performance Management of 3D MPSoCs with Integrated Flow Cell Arrays
2022. 32nd Great Lakes Symposium on VLSI (GLSVLSI 2022), Irvine, California, USA, June 6-8, 2022. DOI : 10.1145/3526241.3530309.An Accuracy-Driven Compression Methodology to Derive Efficient Codebook-Based CNNs
2022. IEEE International Conference on Omni-Layer Intelligent Systems (COINS), Barcelona, Spain, August 1-3, 2022. DOI : 10.1109/COINS54846.2022.9854986.VWR2A: A Very-Wide-Register Reconfigurable-Array Architecture for Low-Power Embedded Devices
2022. 59th ACM/IEEE Design Automation Conference (DAC) – From Chips to Systems – Learn Today, Create Tomorrow,, San Francisco, California, USA, June 10-14, 2022. p. 895 – 900. DOI : 10.1145/3489517.3530980.Thermal and Voltage-Aware Performance Management of 3D MPSoCs with Flow Cell Arrays and Integrated SC Converters
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). 2022. Vol. 1, p. 2 – 15. DOI : 10.1109/TCAD.2022.3168257.A hardware/software co-design vision for deep learning at the edge
IEEE Micro. 2022. Vol. 42, num. 6, p. 48 – 54. DOI : 10.1109/MM.2022.3195617.Real-Time EEG-Based Cognitive Workload Monitoring on Wearable Devices
IEEE Transactions on Biomedical Engineering. 2022. Vol. 69, num. 1, p. 265 – 277. DOI : 10.1109/TBME.2021.3092206.Design and management of three-dimensional multi-processor systems-on-chip with integrated flow cell arrays
Lausanne, EPFL, 2022.COCKTAIL: Multi-Core Co-Optimization Framework With Proactive Reliability Management
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2022. Vol. 41, num. 2, p. 386 – 399. DOI : 10.1109/TCAD.2021.3058959.Exploration of Hyperdimensional Computing Strategies for Enhanced Learning on Epileptic Seizure Detection
2022. 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society (EMBC 2022), Glasgow, Scotland, July 11-15, 2022. p. 4076 – 4082. DOI : 10.1109/EMBC48229.2022.9870919.A Self-Aware Epilepsy Monitoring System for Real-Time Epileptic Seizure Detection
Mobile Networks and Applications. 2022. Vol. 27, p. 677 – 690. DOI : 10.1007/s11036-019-01322-7.Full System Exploration of On-Chip Wireless Communication on Many-Core Architectures
2022. 2022 IEEE 13th Latin America Symposium on Circuits and System (LASCAS), Santiago de Chile, Chile, March 1-4, 2022. p. 1 – 4. DOI : 10.1109/LASCAS53948.2022.9893905.In-Memory Hardware and Architectural Extensions for Workloads Acceleration
Lausanne, EPFL, 2022.CAFS: Cost-Aware Features Selection Method for Multimodal Stress Monitoring on Wearable Devices
IEEE Transactions on Biomedical Engineering. 2022. Vol. 69, num. 3, p. 1072 – 1084. DOI : 10.1109/TBME.2021.3113593.MAGNETIC: Multi-Agent Machine Learning-Based Approach for Energy Efficient Dynamic Consolidation in Data Centers
IEEE Transactions on Services Computing. 2022. Vol. 15, num. 1, p. 30 – 44. DOI : 10.1109/TSC.2019.2919555.A Semi-Supervised Algorithm for Improving the Consistency of Crowdsourced Datasets: The COVID-19 Case Study on Respiratory Disorder Classification
2022
DB4HLS: A Database of High-Level Synthesis Design Space Explorations
Ieee Embedded Systems Letters. 2021. Vol. 13, num. 4, p. 194 – 197. DOI : 10.1109/LES.2021.3066882.Breaking Silos to Guarantee Control Stability with Communication over Ethernet TSN
Ieee Design & Test. 2021. Vol. 38, num. 5, p. 48 – 56. DOI : 10.1109/MDAT.2020.2968281.MBioTracker: Multimodal Self-Aware Bio-Monitoring Wearable System for Online Workload Detection
IEEE Transactions on Biomedical Circuits and Systems. 2021. Vol. 15, num. 5, p. 994 – 1007. DOI : 10.1109/TBCAS.2021.3110317.Personalized Real-Time Federated Learning for Epileptic Seizure Detection
IEEE Journal of Biomedical and Health Informatics. 2021. p. 1 – 1. DOI : 10.1109/JBHI.2021.3096127.A Flexible In-Memory Computing Architecture for Heterogeneously Quantized CNNs
2021. IEEE Computer Society Annual Symposium on VLSI, Tampa, Florida, USA (Virtual), July 7-9, 2021. p. 164 – 169. DOI : 10.1109/ISVLSI51109.2021.00039.The COUGHVID crowdsourcing dataset, a corpus for the study of large-scale cough analysis algorithms
Scientific Data. 2021. Vol. 8, p. 156. DOI : 10.1038/s41597-021-00937-4.Wearable and Continuous Prediction of Passage of Time Perception for Monitoring Mental Health
2021. 2021 IEEE 34th International Symposium on Computer-Based Medical Systems (CBMS), Online, June 7-9, 2021. p. 444 – 449. DOI : 10.1109/CBMS52027.2021.00050.Interpreting deep learning models for epileptic seizure detection on EEG signals
Artificial Intelligence in Medicine. 2021. Vol. 117, p. 102084. DOI : 10.1016/j.artmed.2021.102084.ReBeatICG database
2021.3D-ICE 3.0: efficient nonlinear MPSoC thermal simulation with pluggable heat sink models
Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021. Vol. 40, num. na, p. 1 – 14. DOI : 10.1109/TCAD.2021.3074613.SPARE: A Spectral Peak Recovery Algorithm for PPG Signals Pulsewave Reconstruction in Multimodal Wearable Devices
Sensors. 2021. Vol. 21, num. 8, p. 2725. DOI : 10.3390/s21082725.Self-Aware Anomaly-Detection for Epilepsy Monitoring on Low-Power Wearable Electrocardiographic Devices
2021. 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, Online, May 3-7, 2021. DOI : 10.1109/AICAS51828.2021.9458555.Running Efficiently CNNs on the Edge Thanks to Hybrid SRAM-RRAM In-Memory Computing
2021. DATE 2021 Design, Automation and Test in Europe Conference, Virtual Conference and Exhibition, February 1-5, 2021. p. 1881 – 1886. DOI : 10.23919/DATE51398.2021.9474233.Real-Time Personalized Atrial Fibrillation Prediction on Multi-Core Wearable Sensors
IEEE Transactions on Emerging Topics in Computing. 2021. Vol. 9, num. 4, p. 1654 – 1666. DOI : 10.1109/TETC.2020.3014847.Exact Neural Networks from Inexact Multipliers via Fibonacci Weight Encoding
2021. 58th Design Automation Conference (DAC), San Francisco, California, USA, December 5-9, 2021. p. 805 – 810. DOI : 10.1109/DAC18074.2021.9586245.Associativity-agnostic in-cache computing memory architecture optimized for multiplication
US11211115; US2021350846.
2021.Modelling of vertical and ferroelectric junctionless technology for efficient 3D neural network compute cube dedicated to embedded artificial intelligence
2021. 67th Annual IEEE International Electron Devices Meeting (IEDM 2021), San Francisco, California, USA, December 11-15, 2021. p. 15.6.1 – 15.6.4. DOI : 10.1109/IEDM19574.2021.9720572.Memory chip or memory array for wide-voltage range in-memory computing using bitline technology
US11094355.
2021.EpilepsyGAN: Synthetic Epileptic Brain Activities with Privacy Preservation
IEEE Transactions on Biomedical Engineering. 2021. Vol. 68, num. 8, p. 2435 – 2446. DOI : 10.1109/TBME.2020.3042574.Multi-Agent Reinforcement Learning for Hyperparameter Optimization of Deep Convolutional Neural Networks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2021. Vol. na, num. na, p. na. DOI : 10.1109/TCAD.2021.3077193.The COUGHVID crowdsourcing dataset: A corpus for the study of large-scale cough analysis algorithms
2021.System-level Design of Adaptive Wearable Sensors for Health and Wellness Monitoring
Lausanne, EPFL, 2021.ECOGreen: Electricity Cost Optimization for Green Datacenters in Emerging Power Markets
IEEE Transactions on Sustainable Computing (T-SUSC). 2021. Vol. 6, num. 2, p. 289 – 305. DOI : 10.1109/TSUSC.2020.2983571.Gem5-X : A Many-Core Heterogeneous Simulation Platform for Architectural Exploration and Optimization
ACM Transactions on Architecture and Code Optimization. 2021. Vol. 18, num. 4, p. 44. DOI : 10.1145/3461662.Architecture Exploration and Optimization of Heterogeneous Many-Core Compute and Memory Architectures with Architectural Extensions
Lausanne, EPFL, 2021.ReLearn: A Robust Machine Learning Framework in Presence of Missing Data for Multimodal Stress Detection from Physiological Signals
2021. 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Virtual event, October 31 – November 4, 2021. p. 535 – 541. DOI : 10.1109/EMBC46164.2021.9630040.A medical system and method using a pair of gloves equipped with physiological sensors
WO2021148921.
2021.ReBeatICG: Real-time Low-Complexity Beat-to-beat Impedance Cardiogram Delineation Algorithm
2021. 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC, Virtual, October 31 – November 4, 2021. DOI : 10.1109/EMBC46164.2021.9630170.E2CNN: Ensembles of Convolutional Neural Networks to Improve Robustness Against Memory Errors in Edge-Computing Devices
IEEE Transactions on Computers. 2021. Vol. 70, num. 8, p. 1199 – 1212. DOI : 10.1109/TC.2021.3061086.Synthetic realistic noise-corrupted PPG database and noise generator for the evaluation of PPG denoising and delineation algorithms
2021.Systematic Assessment of Hyperdimensional Computing for Epileptic Seizure Detection
2021. 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBC, Virtual, October 31 – November 4, 2021. DOI : 10.1109/EMBC46164.2021.9629648.Towards Continuous and Ambulatory Blood Pressure Monitoring: Methods for Efficient Data Acquisition for Pulse Transit Time Estimation
Sensors. 2020. Vol. 20, num. 24, p. 7106. DOI : 10.3390/s20247106.EEG Correlates of Difficulty Levels in Dynamical Transitions of Simulated Flying and Mapping Tasks
IEEE Transactions on Human-Machine Systems. 2020. p. 1 – 10. DOI : 10.1109/THMS.2020.3038339.Predictive Reliability and Fault Management in Exascale Systems
ACM Computing Surveys. 2020. Vol. 53, num. 5, p. 1 – 32. DOI : 10.1145/3403956.Cardiac Ambulatory Monitoring: New Wireless Device Validated Against Conventional Holter Monitoring in a Case Series
Frontiers In Cardiovascular Medicine. 2020. Vol. 7, p. 587945. DOI : 10.3389/fcvm.2020.587945.The RECIPE approach to challenges in deeply heterogeneous high performance systems
Microprocessors And Microsystems. 2020. Vol. 77, p. 103185. DOI : 10.1016/j.micpro.2020.103185.Analysis of Functional Errors Produced by Long-Term Workload-Dependent BTI Degradation in Ultra-Low Power Processors
IEEE Transactions on Very Large Scale Integration Systems. 2020. Vol. 28, num. 10, p. 2122 – 2133. DOI : 10.1109/TVLSI.2020.3003471.Write Termination circuits for RRAM : A Holistic Approach From Technology to Application Considerations
Ieee Access. 2020. Vol. 8, p. 109297 – 109308. DOI : 10.1109/ACCESS.2020.3000867.Noninvasive detection of focal seizures in ambulatory patients
Epilepsia. 2020. Vol. 61, num. S1, p. S47 – S54. DOI : 10.1111/epi.16538.Containergy-A Container-Based Energy and Performance Profiling Tool for Next Generation Workloads
Energies. 2020. Vol. 13, num. 9, p. 2162. DOI : 10.3390/en13092162.In-depth assessment of potential new data integration into the MIP
2020
Recommendations for the MIP Technical Development During SGA3
2020
Self-Aware Machine Learning for Multimodal Workload Monitoring During Manual Labor on Edge Wearable Sensors
IEEE Design & Test. 2020. Vol. 37, num. 5, p. 58 – 66. DOI : 10.1109/MDAT.2020.2977070.Cognitive workload monitoring in virtual reality based rescue missions with drones
2020. 12th International Conference on Virtual, Augmented and Mixed Reality, Copenhagen, Denmark, July 19-24, 2020. p. 397 – 409. DOI : 10.1007/978-3-030-49695-1_26.Impact of Memory Voltage Scaling on Accuracy and Resilience of Deep Learning Based Edge Devices
IEEE Design & Test. 2020. Vol. 37, num. 2, p. 84 – 92. DOI : 10.1109/MDAT.2019.2947282.RRAM-VAC: A Variability-Aware Controller for RRAM-based Memory Architectures
2020. 25th Asia and South Pacific Design Automation Conference ASP-DAC 2020, Beijing China, January 13-16, 2020. p. 181 – 186. DOI : 10.1109/ASP-DAC47756.2020.9045220.An Event-Based System for Low-Power ECG QRS Complex Detection
2020. DATE 2020 – Design, Automation & Test In Europe Conference & Exhibition, Grenoble, France, March 9-13, 2020. p. 258 – 263. DOI : 10.23919/DATE48585.2020.9116498.Security-aware Routing and Scheduling for Control Applications on Ethernet TSN Networks
Acm Transactions On Design Automation Of Electronic Systems. 2020. Vol. 25, num. 1, p. 1. DOI : 10.1145/3358604.Adaptive Laser Welding Control: A Reinforcement Learning Approach
IEEE Access. 2020. Vol. 8, p. 103803 – 103814. DOI : 10.1109/ACCESS.2020.2998052.Knowledge, Machine Learning and Atrial Fibrillation: More Ingredients for a Tastier Cocktail
2020. 2020 Computing in Cardiology Conference (CinC), Rimini, Italy, September 13th-16th, 2020. DOI : 10.22489/CinC.2020.476.Towards Deeply Scaled 3D MPSoCs with Integrated Flow Cell Array Technology
2020. ACM Great Lakes Symposium on VLSI (GLSVLSI), Beijing, China, September, 7-9, 2020. p. 513 – 518. DOI : 10.1145/3386263.3406923.Heterogeneous Memory Organizations in Embedded Systems. Placement of Dynamic Data Objects
Springer International Publishing, 2020.A Hybrid Cache HW/SW Stack for Optimizing Neural Network Runtime, Power and Endurance
2020. 28th IFIP/IEEE International Conference on Very Large Scale Integration, Salt Lake City, Utah, USA, October 5-9, 2020. p. 94 – 99. DOI : 10.1109/VLSI-SOC46417.2020.9344087.Enabling Optimal Power Generation of Flow Cell Arrays in 3D MPSoCs with On-Chip Switched Capacitor Converters
2020. IEEE Computer Society Annual Symposium on VLSI, Limassol, Cyprus, July 6-8, 2020. p. 18 – 23. DOI : 10.1109/ISVLSI49217.2020.00014.Blade: An in-Cache Computing Architecture for Edge Devices
Ieee Transactions On Computers. 2020. Vol. 69, num. 9, p. 1349 – 1363. DOI : 10.1109/TC.2020.2972528.Exploration Methodology for BTI-Induced Failures on RRAM-Based Edge AI Systems
2020. 45th International Conference on Acoustics, Speech, and Signal Processing _ ICASSP 2020, Barcelona, Spain, 4-6 May, 2020. p. 1549 – 1552. DOI : 10.1109/ICASSP40776.2020.9054524.Modular Design and Optimization of Biomedical Applications for Ultra-Low Power Heterogeneous Platforms
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2020. Vol. 39, num. 11, p. 3821 – 3832. DOI : 10.1109/TCAD.2020.3012652.Robust Epileptic Seizure Detection on Wearable Systems with Reduced False-Alarm Rate
2020. 42nd Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Montréal, Québec, CA, July 20-24, 2020. p. 4248 – 4251. DOI : 10.1109/EMBC44109.2020.9175339.Wearable and Self-Aware Machine Learning System for Online Cognitive Workload Monitoring and Drone Control
Lausanne, EPFL, 2020.Noise-Resilient and Interpretable Epileptic Seizure Detection
2020. IEEE International Symposium on Circuits and Systems – ISCAS 2020, ELECTR NETWORK, October 10-21, 2020. DOI : 10.1109/ISCAS45731.2020.9180429.Genome Sequence Alignment – Design Space Exploration for Optimal Performance and Energy Architectures
IEEE Transactions on Computers. 2020. Vol. 14, num. 8, p. 1 – 14. DOI : 10.1109/TC.2020.3041402.Resource Management for Power-Constrained HEVC Transcoding Using Reinforcement Learning
IEEE Transactions on Parallel and Distributed Systems. 2020. Vol. 31, num. 12, p. 2834 – 2850. DOI : 10.1109/TPDS.2020.3004735.Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning
2020. Design, Automation, and Test in Europe – DATE2020, Grenoble, France, March 9-13, 2020. p. 418 – 423. DOI : 10.23919/DATE48585.2020.9116510.Monitoring of Cardiovascular and Neurological Diseases Using Wearable Devices
Lausanne, EPFL, 2020.Multi-Objective Management of Multiprocessor Systems: From Heuristics to Reinforcement Learning
Lausanne, EPFL, 2020.Functionality Enhanced Memories for Edge-AI Embedded Systems
2019. Non-Volatile Memory Technology Symposium 2019, Durham, North Carolina, USA, October 28-30, 2019. DOI : 10.1109/NVMTS47818.2019.8986214.Event-Triggered Sensing for High-Quality and Low-Power Cardiovascular Monitoring Systems
IEEE Design & Test. 2019. Vol. 37, num. 5, p. 85 – 93. DOI : 10.1109/MDAT.2019.2951126.Resource-Aware Distributed Epilepsy Monitoring Using Self-Awareness From Edge to Cloud
IEEE Transactions on Biomedical Circuits and Systems. 2019. Vol. 13, num. 6, p. 1338 – 1350. DOI : 10.1109/TBCAS.2019.2951222.An Associativity-Agnostic in-Cache Computing Architecture Optimized for Multiplication
2019. p. 34 – 39. DOI : 10.1109/VLSI-SoC.2019.8920317.A QoS and Container-Based Approach for Energy Saving and Performance Profiling in Multi-Core Servers
2019. 2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration (VLSI-SoC), Cuzco, Peru, October 6-9, 2019. p. 230 – 231. DOI : 10.1109/VLSI-SoC.2019.8920379.REWARD: Design, Optimization, and Evaluation of a Real-Time Relative-Energy Wearable R-Peak Detection Algorithm *
2019. 2019 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Berlin, Germany, July 23-27, 2019. p. 3341 – 3347. DOI : 10.1109/EMBC.2019.8857226.A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories
2019. 27th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), Cuzco, Peru, October 6-9, 2019. p. 160 – 165. DOI : 10.1109/VLSI-SoC.2019.8920343.HackRF plus GNU Radio: A software-defined radio to teach communication theory
International Journal Of Electrical Engineering Education. 2019. p. 0020720919868144. DOI : 10.1177/0020720919868144.DermoNet: densely linked convolutional neural network for efficient skin lesion segmentation
Eurasip Journal On Image And Video Processing. 2019. p. 71. DOI : 10.1186/s13640-019-0467-y.RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM
2019. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIX), Samos, Greece, July 7-11, 2019. p. 34 – 47. DOI : 10.1007/978-3-030-27562-4_3.RRAMSpec: A Design Space Exploration Framework for High Density Resistive RAM
2019. Embedded Computer Systems: Architectures, Modeling, and Simulation: 19th International Conference, SAMOS 2019, Samos, Greece, July 7-11, 2019. p. 34 – 47. DOI : 10.1007/978-3-030-27562-4_3.A Fast, Reliable and Wide-voltage-range In-memory Computing Architecture
2019. IEEE/ACM Design Automation Conference (DAC), Las Vegas, Nevada, USA., june 2-6, 2019. DOI : 10.1145/3316781.3317741.BLADE: A BitLine Accelerator for Devices on the Edge
2019. 29th Edition of the ACM Great Lakes Symposium on VLSI (GLSVLSI 2019), Tysons Corner, VA, USA, May 9-11, 2019. DOI : 10.1145/3299874.3317979.Gem5-X: A Gem5-Based System Level Simulation Framework to Optimize Many-Core Platforms
2019. 27th High Performance Computing Symposium (HPC 2019), SpringSim’19, Tucson, Arizona, USA, April 29 – May 2, 2019. DOI : 10.23919/SpringSim.2019.8732862.A Self-Learning Methodology for Epileptic Seizure Detection with Minimally Supervised Edge Labeling
2019. Design, Automation and Test in Europe (DATE), Florence, Italy, March 25-29, 2019. p. 764 – 769. DOI : 10.23919/DATE.2019.8714995.LSST: From Science Drivers to Reference Design and Anticipated Data Products
Astrophysical Journal. 2019. Vol. 873, num. 2, p. 111. DOI : 10.3847/1538-4357/ab042c.Definition Of A Transparent Constraint-Based Modeling And Simulation Layer For The Management Of Complex Systems
2019. Spring Simulation Conference (SpringSim), Tucson, AZ, Apr 29-May 02, 2019. DOI : 10.23919/SpringSim.2019.8732847.Low Power Sensing and Processing in Wearable Biomedical Devices for Personalized Health Monitoring
Lausanne, EPFL, 2019.Measuring acute stress response through physiological signals: towards a quantitative assessment of stress
Medical & Biological Engineering & Computing. 2019. Vol. 57, p. 271 – 287. DOI : 10.1007/s11517-018-1879-z.Results from Running an Experiment as a Service Platform for Mobile Broadband Networks in Europe
Computer Communications. 2019. Vol. 133, p. 89 – 101. DOI : 10.1016/j.comcom.2018.09.004.Switching event detection and self-termination programming circuit for energy efficient ReRAM memory arrays
IEEE Transactions on Circuits and Systems II. 2019. Vol. 66, num. 5, p. 748 – 752. DOI : 10.1109/TCSII.2019.2908967.A Design Framework for Thermal-Aware Power Delivery Network in 3D MPSoCs with Integrated Flow Cell Arrays
2019. ACM/IEEE International Symposium on Low Power Electronics and Design, Lausanne, Switzerland, July 29-31, 2019. DOI : 10.1109/ISLPED.2019.8824895.i-DPs CGRA: An Interleaved-Datapaths Reconfigurable Accelerator for Embedded Bio-signal Processing
IEEE Embedded Systems Letters (ESL). 2019. Vol. 11, num. 2, p. 50 – 53. DOI : 10.1109/LES.2018.2849267.Teleoperation with a wearable sensor system
US12019438; US2021247758; WO2019244112; GB2574886; GB201810285.
2019.Tailoring SVM Inference for Resource-Efficient ECG-Based Epilepsy Monitors
2019. Design, Automation & Test in Europe Conference (DATE), Florence, Italy, 25-29 March 2019. p. 948 – 951. DOI : 10.23919/DATE.2019.8714858.Multi-Modal Acute Stress Recognition Using Off-the-Shelf Wearable Devices
2019. 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Berlin, GERMANY, Jul 23-27, 2019. p. 2196 – 2201. DOI : 10.1109/EMBC.2019.8857130.Enhancing Two-Phase Cooling Efficiency through Thermal- Aware Workload Mapping for Power-Hungry Servers
2019. IEEE/ACM 2019 Design, Automation, and Test in Europe (DATE) Conference, Florence, Italy, March 25-29, 2019. p. 50 – 55. DOI : 10.23919/DATE.2019.8715033.Multi-Objective System-Level Management of Modern Green Data Centers
Lausanne, EPFL, 2019.MAMUT: Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-User Video Transcoding
2019. Design, Automation, and Test in Europe (DATE), Florence, Italy, March, 25-29, 2019. p. 558 – 563. DOI : 10.23919/DATE.2019.8715256.Hardware/Software Co-Design and Reliability Analysis of Ultra-Low Power Biomedical Devices
Lausanne, EPFL, 2019.Real-Time Cognitive Workload Monitoring Based on Machine Learning Using Physiological Signals in Rescue Missions
2019. 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC), Berlin, GERMANY, Jul 23-27, 2019. p. 3779 – 3785. DOI : 10.1109/EMBC.2019.8857501.Pattern Recognition in Non-uniformly sampled electrocardiogram signal for wearable sensors
2019.Butterfly Attack: Adversarial Manipulation of Temporal Properties of Cyber-Physical Systems
2019. 40th IEEE Real-Time Systems Symposium (RTSS), Hong Kong, HONG KONG, Dec 03-06, 2019. p. 93 – 106. DOI : 10.1109/RTSS46320.2019.00019.A Machine Learning-Based Framework for Throughput Estimation of Time-Varying Applications in Multi-Core Servers
2019. 27th IFIP/IEEE International Conference on Very Large Scale Integration, Cuzco, Peru, October 6-9, 2019. p. 211 – 216. DOI : 10.1109/VLSI-SoC.2019.8920309.A wearable system for real-time detection of epileptic seizures
EP3755219; US2020375524; WO2019162850.
2019.Resistive Switching Memory Architecture Based on Polarity Controllable Selectors
IEEE Transactions on Nanotechnology. 2018. Vol. 18, p. 184 – 194. DOI : 10.1109/TNANO.2018.2887140.RRAM Crossbar Arrays for Storage Class Memory Applications : Throughput and Density Considerations
2018. XXXIII CONFERENCE ON DESIGN OF CIRCUITS AND INTEGRATED SYSTEMS (DCIS), Lyon, November 14-16, 2018. DOI : 10.1109/DCIS.2018.8681470.Exploring manycore architectures for next-generation HPC systems through the MANGO approach
Microprocessors And Microsystems. 2018. Vol. 61, p. 154 – 170. DOI : 10.1016/j.micpro.2018.05.011.Online Obstructive Sleep Apnea Detection on Medical Wearable Sensors
IEEE Transactions on Biomedical Circuits and Systems. 2018. Vol. 12, num. 4, p. 762 – 773. DOI : 10.1109/TBCAS.2018.2824659.Optimization of Message Encryption for Real-Time Applications in Embedded Systems
IEEE Transactions on Computers. 2018. Vol. 67, num. 5, p. 748 – 754. DOI : 10.1109/TC.2017.2778728.A modular low-complexity ECG delineation algorithm for real-time embedded systems
Journal of Biomedical Informatics. 2018. Vol. 22, num. 2, p. 429 – 441. DOI : 10.1109/JBHI.2017.2671443.Fast and Energy-Efficient CNFET Adders With CDM and Sensitivity-Based Device-Circuit Co-Optimization
IEEE TRANSACTIONS ON NANOTECHNOLOGY. 2018. Vol. 17, num. 4, p. 783 – 794. DOI : 10.1109/TNANO.2018.2834511.Integrating Heuristic and Machine-Learning Methods for Efficient Virtual Machine Allocation in Data Centers
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS. 2018. Vol. 37, num. 8, p. 1667 – 1680. DOI : 10.1109/TCAD.2017.2760517.Stability-Aware Integrated Routing and Scheduling for Control Applications in Ethernet Networks
2018. Design, Automation and Test in Europe (DATE). p. 682 – 687. DOI : 10.23919/DATE.2018.8342096.Fast Energy Estimation Through Partial Execution of HPC Applications
2018. 29th Annual IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP), Milan, ITALY, Jul 10-12, 2018. p. 260 – 267. DOI : 10.1109/ASAP.2018.8445089.Control-Quality Driven Design of Embedded Control Systems with Stability Guarantees
IEEE Design & Test. 2018. Vol. 35, num. 4, p. 38 – 46. DOI : 10.1109/MDAT.2017.2766564.Heterogeneous and Inexact: Maximizing Power Efficiency of Edge Computing Sensors for Health Monitoring Applications
2018. The International Symposium on Circuits and Systems (ISCAS), Florence, Italy, May 27-30, 2018. DOI : 10.1109/ISCAS.2018.8351595.Hardware / Software Architectural and Technological Exploration for Energy-Efficient and Reliable Biomedical Devices
Lausanne, EPFL, 2018.Online Efficient Bio-Medical Video Transcoding on MPSoCs Through Content-Aware Workload Allocation
2018. Design, Automation, and Test in Europe Conference (DATE), Dresden, Germany, March 19-23, 2018. p. 949 – 954. DOI : 10.23919/DATE.2018.8342146.Physiological Characterization of Need for Assistance in Rescue Missions with Drones
2018. 2018 IEEE International Conference on Consumer Electronics (ICCE), Nevada, Las Vegas, USA, January 12-14, 2018. DOI : 10.1109/ICCE.2018.8326348.e-Glass: A Wearable System for Real-Time Detection of Epileptic Seizures
2018. The International Symposium on Circuits and Systems (ISCAS), Florence, Italy, May 27-30, 2018. DOI : 10.1109/ISCAS.2018.8351728.Real-Time Event-Driven Classification Technique for Early Detection and Prevention of Myocardial Infarction on Wearable Systems
IEEE Transactions on Biomedical Circuits and Systems. 2018. Vol. 12, num. 5, p. 982 – 992. DOI : 10.1109/TBCAS.2018.2848477.Reliable Power and Time-Constraints-Aware Predictive Management of Heterogeneous Exascale Systems
2018. 18th International Conference on Embedded Computer Systems – Architectures, Modeling, and Simulation (SAMOS), Pythagorion, GREECE, Jul 15-19, 2018. p. 187 – 194. DOI : 10.1145/3229631.3239368.Machine Learning-Based Quality-Aware Power and Thermal Management of Multistream HEVC Encoding on Multicore Servers
IEEE Transactions on Parallel and Distributed Systems. 2018. Vol. 29, num. 10, p. 2268 – 2281. DOI : 10.1109/TPDS.2018.2827381.Self-Aware Wearable Systems in Epileptic Seizure Detection
2018. Euromicro Conference on Digital System Design (DSD), Prague, Czech Republic, August 29-31, 2018. p. 426 – 432. DOI : 10.1109/DSD.2018.00078.Design Optimization of 3D Multi-Processor System-on-Chip with Integrated Flow Cell Arrays
2018. ACM/IEEE International Symposium on Low Power Electronics and Design, Bellevue, Washington, USA, July 23-25, 2018. DOI : 10.1145/3218603.3218606.A Machine Learning-Based Strategy for Efficient Resource Management of Video Encoding on Heterogeneous MPSoCs
2018. International Symposium on Circuits and Systems (ISCAS), Florence, Italy, May 27-30, 2018. DOI : 10.1109/ISCAS.2018.8351785.FlyJacket: An Upper Body Soft Exoskeleton for Immersive Drone Control
IEEE Robotics and Automation Letters. 2018. Vol. 3, num. 3, p. 2362 – 2369. DOI : 10.1109/LRA.2018.2810955.Design of a Two-Phase Gravity-Driven Micro-Scale Thermosyphon Cooling System for High-Performance Computing Data Centers
2018. The Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITHERM), Marina San Diego, CA, USA, May 29 – June 1, 2018. p. 587 – 595. DOI : 10.1109/ITHERM.2018.8419531.Jacket for embodied interaction with virtual or distal robotic device
US10860014; US2019258239; EP3509801; WO2018047102.
2018.Energy Proportionality in Near-Threshold Computing Servers and Cloud Data Centers: Consolidating or Not?
2018. Design, Automation & Test in Europe Conference & Exhibition (DATE), Dresden, Germany, March 19-23. p. 147 – 152. DOI : 10.23919/DATE.2018.8341994.Thermal Characterization of Next-Generation Workloads on Heterogeneous MPSoCs
2017. International Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation, SAMOS Island, Greece, July 17-20. 2017. p. 286 – 291. DOI : 10.1109/SAMOS.2017.8344642.HEAL-WEAR: an Ultra-Low Power Heterogeneous System for Bio-Signal Analysis
IEEE Transactions on Circuits and Systems I: Regular Papers. 2017. Vol. 64, num. 9, p. 2448 – 2461. DOI : 10.1109/Tcsi.2017.2701499.A Patient-Specific Methodology for Prediction of Paroxysmal Atrial Fibrillation Onset
2017. Computing in Cardiology, Rennes, France, September 24-27, 2017. DOI : 10.22489/CinC.2017.285-191.Anomalies in Scheduling Control Applications and Design Complexity
2017. DATE 2017, Lausanne, 27-31.03.2017. p. 1607 – 1610. DOI : 10.23919/DATE.2017.7927247.Report on DATE 2017 in Lausanne
IEEE Design & Test. 2017. Vol. 34, num. 4, p. 76 – 77. DOI : 10.1109/Mdat.2017.2693266.An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery
2017. CODES+ISSS: International Conference on Hardware/Software Codesign and System Synthesis, Seoul, South Korea, October 15-20, 2017.PowerCool: Simulation of Cooling and Powering of 3D MPSoCs with Integrated Flow Cell Arrays
IEEE Transactions on Computers. 2017. p. 1 – 1. DOI : 10.1109/TC.2017.2695179.An Inexact Ultra-low Power Bio-signal Processing Architecture With Lightweight Error Recovery
ACM Transactions on Embedded Computing Systems. 2017. Vol. 16, num. 5s, p. 159. DOI : 10.1145/3126565.Work-in-Progress: A Machine Learning-Based Approach for Power and Thermal Management of Next-Generation Video Coding on MPSoCs
2017. CODES/ISSS ’17, Seoul, Republic of Korea, October 15-20, 2017. DOI : 10.1145/3125502.3125533.Real-time classification technique for early detection and prevention of myocardial infarction on wearable devices
2017. 13th IEEE Biomedical Circuits and Systems Conference (BioCAS), Turin, Italy, October 19-21, 2017. p. 1 – 4. DOI : 10.1109/BIOCAS.2017.8325140.Classification of Resilience Techniques Against Functional Errors at Higher Abstraction Layers of Digital Systems
ACM Computing Surveys. 2017. Vol. 50, num. 4, p. 50. DOI : 10.1145/3092699.MANGO: exploring Manycore Architectures for Next-GeneratiOn HPC systems
2017. Euromicro Conference on Digital System Design, Vienna, Austria, Aug 30 – Sep 1, 2017. DOI : 10.1109/DSD.2017.51.Joint Computing and Electric Systems Optimization for Green Datacenters
Handbook of Hardware/Software Codesign; Springer, 2017. p. 1 – 21.TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip
Transaction on Computer-Aided Design of Integrated Circuits and Systems. 2017. Vol. 37, num. 8, p. 1532 – 1545. DOI : 10.1109/TCAD.2017.2768417.A Synchronization-Based Hybrid-Memory Multi-Core Architecture for Energy-Efficient Biomedical Signal Processing
IEEE Transactions on Computers. 2017. Vol. 66, num. 4, p. 575 – 585. DOI : 10.1109/TC.2016.2610426.Hierarchical Cardiac-Rhythm Classification Based on Electrocardiogram Morphology
2017. Computing in Cardiology (CinC), Rennes, France, September 24-27, 2017. DOI : 10.22489/CinC.2017.343-119.Method and Device for Non-Invasive Blood Pressure Measurement
EP3133985; US10357164; EP3133985; US2017042434; WO2015162566.
2017.Towards Near-Threshold Server Processors
2016. Design, Automation and Test in Europe Conference (DATE ’16), Dresden, Germany, March 14-18, 2016. p. 7 – 12.Big-Data Streaming Applications Scheduling Based on Staged Multi-Armed Bandits
IEEE Transactions on Computers. 2016. Vol. 65, num. 12, p. 3591 – 3605. DOI : 10.1109/Tc.2016.2550454.Methods for reliable estimation of pulse transit time and blood pressure variations using smartphone sensors
Elsevier Microprocessors and Microsystems. 2016. Vol. 1, num. 1, p. 1 – 12. DOI : 10.1016/j.micpro.2016.06.001.Hardware/Software Co-Design of Ultra-Low Power Biomedical Monitors
Lausanne, EPFL, 2016.Touch-Based System for Beat-to-Beat Impedance Cardiogram Acquisition and Hemodynamic Parameters Estimation
2016. IEEE/ACM Design Automation and Test in Europe Conference (DATE’16), Dresden, Germany, March 25-27, 2015. p. 150 – 155.Parallelizing the Chambolle Algorithm for Performance Optimized Mapping on FPGA devices
ACM Transactions on Embedded Computing Systems. 2016. Vol. 15, num. 3, p. 1 – 27. DOI : 10.1145/2851497.Inexact-Aware Architecture Design for Ultra-Low Power Bio-Signal Analysis
IET Computers & Digital Techniques. 2016. Vol. 10, num. 6, p. 306 – 314. DOI : 10.1049/iet-cdt.2015.0194.Big-Data Streaming Applications Scheduling Based on Staged Multi-armed Bandits
IEEE Transactions on Computers. 2016. Vol. 65, num. 12, p. 3591 – 3605. DOI : 10.1109/TC.2016.2550454/TC.2016.2550454.An Ultra-Low Power NVM-Based Multi-Core Architecture for Embedded Bio Signal Processing
2016. ICT-Energy conference 2016, Aalborg, Denmark, 16-19.08.2016.Ultra-Low Power Estimation of Heart Rate Under Physical Activity Using a Wearable Photoplethysmographic System
2016. 19th IEEE/Euromicro Conference On Digital System Design (DSD 2016), Limassol, Cyprus, August 31 – September 2, 2016. p. 1 – 10. DOI : 10.1109/Dsd.2016.101.Exploiting CPU-Load and Data Correlations in Multi-Objective VM Placement for Geo-Distributed Data Centers
2016. 2016 Design, Automation and Test in Europe Conference (DATE ‘16), Dresden, Germany, March 14-18, 2016. p. 1333 – 1338.A Multi-Core Reconfigurable Architecture for Ultra-Low Power Bio-Signal Analysis
2016. Biomedical Circuits and Systems (BioCAS), Shanghai, China, October 17-19, 2016. p. 416 – 419. DOI : 10.1109/BioCAS.2016.7833820.Microarchitectural Low-Power Design Techniques for Embedded Microprocessors
Lausanne, EPFL, 2016.Energy vs. Reliability Trade-offs Exploration in Biomedical Ultra-Low Power Devices
2016. Design, Automation and Test in Europe Conference (DATE ’16), Dresden, Germany, March 14-18, 2016. p. 838 – 841.Low-Power Wearable System for Real-Time Screening of Obstructive Sleep Apnea
2016. IEEE Computer Society Annual Symposium on VLSI, Pittsburgh, Pennsylvania, U.S.A., July 11-13, 2016. p. 230 – 235. DOI : 10.1109/Isvlsi.2016.51.Efficient Hardware Design Of Iterative Stencil Loops
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2016. Vol. 35, num. 8, p. 1 – 15. DOI : 10.1109/TCAD.2016.2545408.Enabling HPC for QoS-sensitive applications: the MANGO approach
2016. Design, Automation and Test in Europe Conference and Exhibition (DATE), Dresden, GERMANY, MAR 14-18, 2016. p. 702 – 707.Nano-Engineered Architectures for Ultra-Low Power Wireless Body Sensor Nodes
2016. CODES-ISSS 2016, Pittsburgh, USA, 01-06.10.2016. DOI : 10.1145/2968456.2968464.ICCAD 2015 Contest in 3D Interlayer Cooling Optimized Network
2015. 2015 ACM/IEEE International Conference on Computer Aided Design (ICCAD ’15), Austin, Texas, USA, November 2-6, 2015. p. 34 – 39. DOI : 10.1109/ICCAD.2015.7372669.Heterogeneous Error-Resilient Scheme for Spectral Analysis in Ultra-Low Power Wearable Electrocardiogram Devices
2015. IEEE Annual Symposium on VLSI 2015 (ISVLSI 2015), Montpellier, France, July 8-10, 2015. p. 173 – 180. DOI : 10.1109/ISVLSI.2015.46.Real-Time Probabilistic Heart Beat Classification and Correction for Embedded Systems
2015. Computing in Cardiology 2015, Nice, France, September 06-09, 2015. p. 161 – 164. DOI : 10.1109/CIC.2015.7408611.Estimation of Blood Pressure and Pulse Transit Time Using Your Smartphone
2015. 18th IEEE/Euromicro Conference On Digital System Design (DSD 2015), Funchal, Madeira, Portugal, August 26-28, 2015. p. 173 – 180. DOI : 10.1109/DSD.2015.90.Energy-Aware Embedded Classifier Design for Real-Time Emotion Analysis
2015. 37th IEEE Annual International Conference of the Engineering in Medicine and Biology Society (EMBC 2015), Milan, Italy, August 25-29, 2015. p. 10 – 13. DOI : 10.1109/EMBC.2015.7318846.Ultra-low-power ECG front-end design based on compressed sensing
2015. 2015 Design, Automation and Test in Europe Conference (DATE ‘15), Grenoble, France, March 9-13, 2015. p. 671 – 676. DOI : 10.7873/DATE.2015.1098.Energy-Efficient Co-Design Optimization of Many-Core Platforms for Big-Data Streaming Applications
Lausanne, EPFL, 2015.A Wearable Device For Physical and Emotional Health Monitoring
2015. Computing in Cardiology 2015, Nice, France, September 06-09, 2015. p. 121 – 124. DOI : 10.1109/CIC.2015.7408601.Method for detecting abnormalities in an electrocardiogram
US9468386; US2015257668.
2015.Design of Ultra-Low-Power Smart Wearable Systems
2015. IEEE 16th Latin-American Test Symposium 2015 (LATS), Puerto Vallarta, Mexico, March 25-27, 2015. p. 1 – 2. DOI : 10.1109/LATW.2015.7102527.Classification Framework for Analysis and Modeling of Physically Induced Reliability Violations
ACM Computing Surveys (CSUR). 2015. Vol. 47, num. 3, p. 38:1 – 38:33. DOI : 10.1145/2678276.Method and apparatus for low complexity spectral analysis of bio-signals
US9760536; US2015220486; EP2884884; WO2014027329.
2015.Near-optimal thermal monitoring framework for many-core systems on chip
IEEE Transactions on Computers. 2015. Vol. 64, num. 11, p. 3197 – 3209. DOI : 10.1109/Tc.2015.2395423.GPU Acceleration for Simulating Massively Parallel Many-Core Platforms
Ieee Transactions On Parallel And Distributed Systems. 2015. Vol. 26, num. 5, p. 1336 – 1349. DOI : 10.1109/Tpds.2014.2319092.Power-Thermal Modeling and Control of Energy-Efficient Servers and Datacenters
Handbook on Data Centers; New York: Springer Science+Business Media, 2015. p. 857 – 913.Ultra-Low Power Design of Wearable Cardiac Monitoring Systems
2014. IEEE/ACM 2014 Design Automation Conference (DAC), San Francisco, CA, USA, June 1-5, 2014. p. 140 – 145. DOI : 10.1145/2593069.2596691.Online Energy-Efficient Task-Graph Scheduling for Multicore Platforms
IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2014. Vol. 33, num. 8, p. 1194 – 1207. DOI : 10.1109/TCAD.2014.2316094.Early Classification of Pathological Heartbeats on Wireless Body Sensor Nodes
Sensors. 2014. Vol. 14, num. 12, p. 22532 – 22551. DOI : 10.3390/s141222532.Temperature-Aware Design and Management for 3D Multi-Core Architectures
Foundations and Trends in Electronic Design Automation. 2014. Vol. 8, num. 2, p. 117 – 197. DOI : 10.1561/1000000032.Hardware-Software Inexactness in Noise-aware Design of Low-Power Body Sensor Nodes
2014. Designing with Uncertainty – Opportunities & Challenges, York, United Kingdom, March 17-19 , 2014.Power-Efficient Joint Compressed Sensing of Multi-Lead ECG Signals
2014. 39th International Conference on Acoustics, Speech and Signal Processing (ICASSP 2014), Florence, Italy, May 5-9 2014. p. 4409 – 4412. DOI : 10.1109/ICASSP.2014.6854435.Ultra low power design of hardware efficient CS-Based compression scheme in WBSN
2014. CT-Energy Community Workshop, Barcelona, Spain, April 23-25, 2014.A Unified Online Directed Acyclic Graph Flow Manager for Multicore Schedulers
2014. 19th Asia and South Pacific Design Automation Conference (ASP-DAC). p. 714 – 719. DOI : 10.1109/ASPDAC.2014.6742974.A Semi-Analytical Thermal Modeling Framework for Liquid-Cooled ICs
IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2014. Vol. 33, num. 8, p. 1145 – 1158. DOI : 10.1109/TCAD.2014.2323194.Design Methodologies for Application-Oriented Embedded Systems Under Variable Performance/Constraints Tradeoffs
Lausanne, EPFL, 2014.Ultra-Low Power Multicore Architecture For Parallel Biomedical Signal Processing
WO2013136259; WO2013136259.
2014.Approximate Compressed Sensing: Ultra-Low Power Biosignal Processing via Aggressive Voltage Scaling on a Hybrid Memory Multi-core Processor
2014. International Symposium on Low Power Electronics and Design (ISLPED 2014), La Jolla, California, USA, August 11-13, 2014. p. 40 – 45. DOI : 10.1145/2627369.2627629.Global Fan Speed Control Considering Non-Ideal Temperature Measurements in Enterprise Servers
2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 210 – 215. DOI : 10.7873/DATE.2014.289.Compressed sensing : a universal energy-efficient compression scheme for biosignals on wireless body sensor nodes
Lausanne, EPFL, 2014.Integrated Microfluidic Power Generation and Cooling for Bright Silicon MPSoCs
2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 70 – 75. DOI : 10.7873/DATE.2014.147.Low Power and Scalable Many-Core Architecture for Big-Data Stream Computing
2014. IEEE Annual Symposium on VLSI 2014 (ISVLSI), Tampa, Florida, USA, July 9-11, 2014. p. 468 – 473. DOI : 10.1109/ISVLSI.2014.77.Ultra-Low Power Design of Wearable Cardiac Monitoring Systems
2014. 51st ACM/EDAC/IEEE Design Automation Conference (DAC). DOI : 10.1145/2593069.2596691.Risk Assessment of Atrial Fibrillation: a Failure Prediction Approach
Computers in Cardiology. 2014. Vol. 41, num. 1, p. 170 – 173.Scalable Simulation Methodologies for Many-Core Heterogeneous Systems
Lausanne, EPFL, 2014.Resolving the Memory Bottleneck for Single Supply Near-Threshold Computing
2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 120 – 125. DOI : 10.7873/DATE.2014.215.Hardware/Software Approach for Code Synchronization in Low-Power Multi-Core Sensor Nodes
2014. IEEE/ACM 2014 Design Automation and Test in Europe (DATE) Conference, Dresden, Germany, March 24-28, 2014. p. 50 – 55. DOI : 10.7873/DATE.2014.181.PowerCool: Simulation of Integrated Microfluidic Power Generation in Bright Silicon MPSoCs
2014. IEEE/ACM 2014 International Conference on Computer Aided-Design (ICCAD), San Jose, CA, USA, November 2-6, 2014. p. 527 – 534. DOI : 10.1109/ICCAD.2014.7001401.3D-ICE: a Compact Thermal Model for Early-Stage Design of Liquid-Cooled ICs
IEEE Transactions on Computers. 2014. Vol. 63, num. 10, p. 2576 – 2589. DOI : 10.1109/TC.2013.127.A Mapping-Scheduling Algorithm for Hardware Acceleration on Reconfigurable Platforms
ACM Transactions on Reconfigurable Technology and Systems (TRETS). 2014. Vol. 7, num. 2, p. 1 – 27. DOI : 10.1145/2611562.A semi-analytical approach for optimized design of microchannel liquid-cooled ICs
2014. IEEE 2014 Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), Orlando, Florida, USA, May 27-30, 2014. p. 296 – 302. DOI : 10.1109/ITHERM.2014.6892296.OCEAN: An Optimized HW/SW Reliability Mitigation Approach for Scratchpad Memories in Real-Time SoCs
ACM Transactions on Embedded Computing Systems. 2014. Vol. 13, p. 138.1 – 138.26. DOI : 10.1145/2584667.A Wireless Body Sensor Network For Activity Monitoring With Low Transmission Overhead
2014. The 12th IEEE International Conference on Embedded and Ubiquitous Computing, Milan, 25-29.08.2014. p. 265 – 272. DOI : 10.1109/EUC.2014.46.A Quality-Scalable and Energy-Efficient Approach for Spectral Analysis of Heart Rate Variability
2014. Design Automation & Test in Europe (DATE), Dresden, Germany, DOI : 10.7873/DATE.2014.184.A Unified Online Directed Acyclic Graph Flow Manager for Multicore Schedulers
2014. 19th Asia and South Pacific Design Automation Conference ASP-DAC 2014, Singapore, Singapore, January 20-23, 2014. p. 714 – 719. DOI : 10.1109/ASPDAC.2014.6742974.SIMinG-1k: A thousand-core simulator running on general-purpose graphical processing units
Concurrency And Computation – Practice and Experience. 2013. Vol. 25, num. 10, p. 1443 – 1461. DOI : 10.1002/cpe.2940.STEAM: a fast compact thermal model for two-phase cooling of integrated circuits
2013. 32nd IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Jose. California, USA, November 18-21, 2003. p. 256 – 263. DOI : 10.1109/ICCAD.2013.6691127.GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation
Ieee Transactions On Computer-Aided Design Of Integrated Circuits And Systems. 2013. Vol. 32, num. 4, p. 524 – 537. DOI : 10.1109/Tcad.2012.2226032.Thermal Simulation of Liquid-Cooled Integrated Circuits
Lausanne, EPFL, 2013.Markov Decision Process Based Energy-Efficient On-Line Scheduling for Slice Parallel Video Decoders on Multicore Systems
IEEE Transactions on Multimedia. 2013. Vol. 15, num. 2, p. 268 – 278. DOI : 10.1109/TMM.2012.2231668.Design Methods for Parallel Hardware Implementation of Multimedia Iterative Algorithms
IEEE Design & Test. 2013. Vol. 30, num. 4, p. 71 – 50. DOI : 10.1109/MDT.2012.2223191.Markov Decision Process Based Energy-Efficient Scheduling For Slice-Parallel Video Decoding
2013. 2013 IEEE International Conference on Multimedia and Expo Workshops (ICMEW 2013), San Jose, California, USA, July 15-19, 2013. p. 1 – 6. DOI : 10.1109/ICMEW.2013.6618393.A High-Level Synthesis Flow for the Implementation of Iterative Stencil Loop Algorithms on FPGA Devices
2013. IEEE/ACM 50th Design Automation Conference (DAC’13), Austin, Texas, USA, June 2-6, 2013. p. 1 – 6. DOI : 10.1145/2463209.2488797.A Methodology for Embedded Classification of Heartbeats Using Random Projections
2013. DATE2013, Grenoble, France, March 18-22, 2013. p. 899 – 904. DOI : 10.7873/DATE.2013.189.Effects of Age, Sex and Treatment on Weight Loss Dynamics in Overweight People
Applied Physiology, Nutrition and Metabolism. 2013. Vol. 38, num. 9, p. 967 – 976. DOI : 10.1139/apnm-2012-0441.Synchronizing Code Execution on Ultra-Low-Power Embedded Multi-Channel Signal Analysis Platforms
2013. The Design, Automation and Test in Europe (DATE), 2013, Grenoble, France, p. 396 – 399. DOI : 10.7873/DATE.2013.090.An Ultra-Low-Power Application-Specific Processor with Sub-VT Memories for Compressed Sensing
VLSI-SoC: From Algorithms to Circuits and System-on-Chip Design; Springer, 2013. p. 88 – 106.Energy-Aware Processing Platform Exploration for Embedded Biosignal Analysis
Lausanne, EPFL, 2013.Multi-level Optimization Methodologies for Thermally Reliable Multi-Core Architectures
Lausanne, EPFL, 2013.Correlation-Aware Virtual Machine Allocation for Energy-Efficient Datacenters
2013. 2013 IEEE/ACM Design Automation and Test in Europe Conference (DATE 2013), Grenoble, France, March 18-22, 2013. p. 1345 – 1350. DOI : 10.7873/DATE.2013.277.A Combined Sensor Placement and Convex Optimization Approach for Thermal Management in 3D-MPSoC with Liquid Cooling
Integration, the VLSI Journal. 2013. Vol. 46, num. 1, p. 33 – 43. DOI : 10.1016/j.vlsi.2011.12.003.A Hybrid HW-SW Approach for Intermittent Error Mitigation in Streaming-Based Embedded Systems
2012. IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE), Dresden, Germany, March 12-16, 2012. p. 1110 – 1114. DOI : 10.1109/DATE.2012.6176661.Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable Arrays
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems. 2012. Vol. 31, num. 12, p. 1803 – 1816. DOI : 10.1109/Tcad.2012.2209886.Scale Invariant Feature Transform on the Sphere: Theory and Applications
International Journal of Computer Vision. 2012. Vol. 98, num. 2, p. 217 – 241. DOI : 10.1007/s11263-011-0505-4.Online Thermal Control Methods for Multi-Processor Systems
ACM Transactions on Design Automation of Electronic Systems. 2012. Vol. 18, num. 1, p. 6:1 – 6:26. DOI : 10.1145/2390191.2390197.Design Exploration of Energy-Performance Trade-offs for Wireless Sensor Networks
2012. IEEE/ACM 2012 Design Automation Conference (DAC), San Francisco, California, USA, June 3-7, 2012. p. 1043 – 1048. DOI : 10.1145/2228360.2228549.TamaRISC-CS: An Ultra-Low-Power Application-Specific Processor for Compressed Sensing
2012. IFIP/IEEE 20th International Conference on Very Large Scale Integration (VLSI-SoC), Santa Cruz, USA, October 7-10, 2012. p. 159 – 164. DOI : 10.1109/VLSI-SoC.2012.7332094.Memory Power Optimization of Java-Based Embedded Systems Exploiting Garbage Collection Information
Journal of Systems Architecture. 2012. Vol. 58, num. 1, p. 61 – 72. DOI : 10.1016/j.sysarc.2011.11.002.Neural Network-Based Thermal Simulation of Integrated Circuits on GPUs
IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems. 2012. Vol. 31, num. 1, p. 23 – 36. DOI : 10.1109/TCAD.2011.2174236.Design and Exploration of Low-Power Analog to Information Conversion Based on Compressed Sensing
IEEE Journal of Emerging and Selected Topics in Circuits and Systems. 2012. Vol. 2, num. 3, p. 493 – 501. DOI : 10.1109/JETCAS.2012.2220253.Multi-Core Architecture Design for Ultra-Low-Power Wearable Health Monitoring Systems
2012. IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE), Dresden, Germany, March 12-16, 2012. p. 988 – 994. DOI : 10.1109/DATE.2012.6176640.IcyHeart: Highly integrated ultra-low-power SoC solution for unobtrusive and energy efficient wireless cardiac monitoring
2012. IEEE 12th International Conference on BioInformatics and BioEngineering (BIBE 2012), Larnaca, Cyprus, November 11-13, 2012. p. 105 – 109. DOI : 10.1109/BIBE.2012.6399716.Free Cooling-Aware Dynamic Power Management for Green Datacenters
2012. ACM/IEEE 2012 International Conference on High Performance Computing and Simulation (HPCS), Madrid, Spain, July 2-6, 2012. p. 140 – 146. DOI : 10.1109/HPCSim.2012.6266903.Model-Based Design for Wireless Body Sensor Network Nodes
2012. 13th IEEE Latin American Test Workshop, Quito, Ecuador, April 10-13, 2012. p. 92 – 97. DOI : 10.1109/LATW.2012.6261244.Thermal Balancing of Liquid-Cooled 3D-MPSoCs Using Channel Modulation
2012. IEEE/ACM 2012 Design Automation and Test in Europe conference (DATE), Dresden, Germany, March 12-16, 2012. p. 599 – 604. DOI : 10.1109/DATE.2012.6176543.A Multi-Lead Ecg Classification Based On Random Projection Features
2012. IEEE International Conference on Acoustics, Speech and Signal Processing. p. 625 – 628. DOI : 10.1109/ICASSP.2012.6287961.Fast and Scalable Temperature-driven Floorplan Design in 3D MPSoCs
2012. 13th IEEE Latin American Test Workshop (LATW2012), Quito, Ecuador, April 10-13, 2012. p. 98 – 103. DOI : 10.1109/LATW.2012.6261245.Wearout-Aware Compiler-Directed Register Assignment for Embedded Systems
2012. IEEE 13th International Symposium on Quality Electronic Design (ISQED) 2012, Santa Clara, USA, March 19-21, 2012. p. 33 – 40. DOI : 10.1109/ISQED.2012.6187471.Full System Simulation of Many-Core Heterogeneous SoCs using GPU and QEMU Semihosting
2012. Fifth Workshop on General Purpose Processing on Graphics Processing Units , Held with ASPLOS XVII, London, 3.03.2012. p. 101 – 109. DOI : 10.1145/2159430.2159442.Embedded Real-Time ECG Delineation Methods: a Comparative Evaluation
2012. IEEE 12th International Conference on BioInformatics and BioEngineering (BIBE 2012), IEEE Press, Larnaca, Cyprus, November 11-13, 2012. p. 99 – 104. DOI : 10.1109/BIBE.2012.6399715.Automatic online delineation of a multi-lead electrocardiogram bio signal
US2014148714; EP2654557; WO2012085841.
2012.